DVCon: Search Results

Use the filters below to find your document.

TitleAuthor(s)YearLocationTypeLinkhf:tax:event_yearhf:tax:event_locationhf:tax:document_type
“Bounded Proof” sign-off with formal coverageAbhishek Anand, Chinyu Chen, Bathri Narayanan Subramanian, Joe Hupcey2021United StatesPapery2021united-statespaper
“C” you on the faster side: Accelerating SV DPI based co-simulationParag Goel, Amit Sharma, and Hari Vinodh Balisetty2014United StatesPapery2014united-statespaper
“C” you on the faster side: Accelerating SV DPI based co-simulationHari Vinod Balisetty, Parag Goel, and Amit Sharma2014United StatesPresentationy2014united-statespresentation
“In-Emulator” UVM++ Randomized Testbenches for High Performance Functional VerificationAdnan Hamid and David Kelf2022United StatesPresentationy2022united-statespresentation
“Shift left” Hierarchical Low-Power Static Verification Using SAMBharani Ellore, Parag Mandrekar, Himanshu Bhatt, Susantha Wijesekara, and Bhaskar Pal2020United StatesPapery2020united-statespaper
“Shift left” Hierarchical Low-Power Static Verification Using SAMBharani Ellore, Parag Mandrekar, Himanshu Bhatt, Susantha Wijesekara, and Bhaskar Pal2020United StatesPostery2020united-statesposter
“What-If” analysis of Safety Mechanism’s impacts on ETHMAC design under Functional Safety flowUdaykrishna J, Sujatha Hiremath, Kapil Kumar, Sachin Pathak, Gaurav Goel2022IndiaPresentationy2022indiapresentation
1,2,3,…8 simple steps towards a single digital signal processing testbench supporting heterogeneous interfaces and datatypesNico Lugil2016EuropePapery2016europepaper
1,2,3,…8 simple steps towards a single digital signal processing testbench supporting heterogeneous interfaces and datatypesNico Lugil2016EuropePresentationy2016europepresentation
5.1 Smart Verification leveraging PSS; 5.2 When Automotive FuSa Met ICDavid Hwang and Sera Gao2021ChinaPresentationy2021chinapresentation
5G – Chances and Challenges from Test & Measurement PerspectiveMeik Kottkamp2017EuropePresentationy2017europepresentation
5G / NR Explained From 3GPP 5G Standard Fundamentals To 5G Enabled VerticalsMichael Faerber and Kilian Roth2019EuropePresentationy2019europepresentation
5G for people and things Spectrum Opportunities and Challenges of 5G 2017EuropePresentationy2017europepresentation
A 30 Minute Project Makeover Using Continuous IntegrationJL Gray and Gordon McGregor2012United StatesPresentationy2012united-statespresentation
A 30 Minute Project Makeover Using Continuous IntegrationJL Gray2012United StatesPapery2012united-statespaper
A 360 Degree View of UVM EventsVikas Billa, Nagesh Kokonda2017IndiaPresentationy2017indiapresentation
A 360 Degree View of UVM Events – A Case StudyDeepak Kumar E V, Sathish Dadi, and Vikas Billa2016United StatesPapery2016united-statespaper
A 360 Degree View of UVM Events (A Case Study)Deepak Kumar E V, Sathish Dadi, and Vikas Billa2016United StatesPresentationy2016united-statespresentation
A Client-Server Method for Register Design and DocumentationScott D Orangio and Julien Gagnon2016United StatesPapery2016united-statespaper
A Comparative Study of CHISEL and SystemVerilog Based on Logical Equivalent SweRV EL2 RISC V CoreJunaid Ahmed, Waleed Bin Ehsan, Laraib Khan, Asad Aleem, Agha Ali Zeb, Sarmad Paracha, Abdul Hameed Akram, Aashir Ahsan2022United StatesPresentationy2022united-statespresentation
A Comparative Study of CHISEL and SystemVerilog Based on Logical Equivalent SweRV EL2 RISCV CoreJunaid Ahmed, Waleed Bin Ehsan, Laraib Khan, Asad Aleem, Agha Ali Zeb, Sarmad Paracha, Abdul Hameed Akram, and Aashir Ahsan2022United StatesPapery2022united-statespaper
A comparison of methodologies to simulate mixed-signal ICSimone Fontanesi, Karsten Einwich, Paul Ehrlich, Gaetano Formato, Andrea Possemato2021EuropePapery2021europepaper
A Complete SystemC Process Instrumentation Interface and Its Application to Simulation Performance AnalysisBishnupriya Bhattacharya, Chandra Sekhar Katuri, and Vincent Motel2016United StatesPostery2016united-statesposter
A Complete SystemC Process Instrumentation Interface and Its Application to Simulation Performance Analysis.Bishnupriya Bhattacharya, Chandra Sekhar Katuri, and Vincent Motel2016United StatesPapery2016united-statespaper
A Comprehensive Verification Platform for RISC-V based ProcessorsEmre Karabulut, Berk Kisinbay, Abdullah Yildiz and Rifat Demircioglu2020EuropePapery2020europepaper
A Comprehensive Verification Platform for RISC-V based ProcessorsEmre Karabulut, Berk Kisinbay, Abdullah Yildiz, and Rifat Demircioglu 2020EuropePresentationy2020europepresentation
A concept for expanding a UVM testbench to the analog-centric toplevelFelix Assmann, Axel Strobel and Hans Zander2015EuropePapery2015europepaper
A concept for expanding a UVM testbenchto the analog-centric toplevelFelix Assmann, Axel Strobel and Hans Zander2015EuropePostery2015europeposter
A Coverage-Driven Formal Methodology for Verification Sign-offAng Li, Hao Chen, Jason K Yu, Ee Loon Teoh, and Iswerya Prem Anand2019United StatesPapery2019united-statespaper
A Coverage-Driven Formal Methodology for Verification Sign-offAng Li, Hao Chen, Jason K Yu, Ee Loon Teoh and, Iswerya Prem Anand2019United StatesPresentationy2019united-statespresentation
A Cross-domain Heterogeneous ABV-Library for Mixed-signal Virtual Prototypes in SystemC/AMSMuhammad Hassan, Thilo Vörtler, Karsten Einwich, Rolf Drechsler, Daniel Große2022EuropePapery2022europepaper
A Cross-domain Heterogeneous ABV-Library for Mixed-signal Virtual Prototypes in SystemC/AMSMuhammad Hassan, Thilo Vörtler, Karsten Einwich, Rolf Drechsler, Daniel Große2022EuropePresentationy2022europepresentation
A Dyadic Transformation Based Methodology To Achieve Coverage Driven Verification GoalSwapnajit Mitra2017United StatesPresentationy2017united-statespresentation
A Dyadic Transformation Based Methodology to Achieve Coverage Driven Verification GoalSwapnajit Mitra2017United StatesPapery2017united-statespaper
A Dynamic Approach Towards Register Coverage Generation and Collection to Reduce Compilation Overhead of Traditional UVM Register LayersSubham Banerjee2020United StatesPresentationy2020united-statespresentation
A Faster and Efficient Timing Constraint Verification Methodology for GFX SOCsVineeth B, Deepmala Sachan2023IndiaPresentationy2023indiapresentation
A Faster and Efficient Timing Constraint Verification Methodology for GFX SOCsVineeth B, Deepmala Sachan2023IndiaPapery2023indiapaper
A Formal Verification App Towards Efficient Chip-Wide Clock Gating VerificationProsenjit Chatterjee, Scott Fields, and Syed Suhaib2014United StatesPresentationy2014united-statespresentation
A Formal Verification App Towards Efficient, Chip-Wide Clock Gating VerificationProsenjit Chatterjee, Scott Fields, and Syed Suhaib2014United StatesPapery2014united-statespaper
A Framework for AMS Verification IP development with SystemVerilog, UVM and Verilog-AMSMike Bartley and Jeganath Gandhi R2014EuropePapery2014europepaper
A Framework for AMS Verification IP development with SystemVerilog, UVM and Verilog-AMSMike Bartley, Jeganath Gandhi R2014EuropePapery2014europepaper
A Framework for the Execution of Python Tests in SystemC and Specman TestbenchesChristoph Tietz, Sebastian Stieber , Najdet Charaf, Diana Göhringer2022EuropePapery2022europepaper
A Framework for the Execution of Python Tests in SystemC and Specman TestbenchesChristoph Tietz, Sebastian Stieber, Najdet Charaf, Diana Göhringer2022EuropePresentationy2022europepresentation
A Framework for Verification of Program Control Unit of VLIW processorsSanthosh Billava, Sharangdhar M Honwadkar2014IndiaPresentationy2014indiapresentation
A Framework for Verification of Program Control Unit of VLIW ProcessorsSanthosh Billava, Sharangdhar M Honwadkar2014IndiaPapery2014indiapaper
A Generic Approach to Handling Sideband SignalsMarkus Brosch and Salman Tanvir2019EuropePresentationy2019europepresentation
A Generic Configurable Error Injection Agent for On-Chip MemoriesNiharika Sachdeva, Arjun Suresh Kumar, Damandeep Saini, Anil Deshpande, Ravi Teja Gopagiri, Somasunder KS, Jaechul Park2022EuropePapery2022europepaper
A Generic Configurable Error Injection Agent for All On-Chip MemoriesNiharika Sachdeva, Arjun Suresh Kumar, Raviteja Gopagiri, Anil Deshpande, Somasunder Kattepura Sreenath, Damandeep Saini2022IndiaPresentationy2022indiapresentation
A Generic Configurable Error Injection Agent for All On-Chip MemoriesAnil Deshpande, Jaechul Park, Niharika Sachdeva, Arjun Suresh Kumar, Raviteja Gopagiri, Somasund Kattepura Sreenath, Damandeep Saini2022EuropePresentationy2022europepresentation
A Generic Configurable Error Injection Agent for On-Chip MemoriesNiharika Sachdeva, Arjun Suresh Kumar, Raviteja Gopagiri, Anil Deshpande, Somasunder Kattepura Sreenath2022IndiaPapery2022indiapaper
A Generic Verification Methodology for Chip to Chip Interrupt Handling in a Multi-Chip SoC (3DIC)Vignesh Adiththan, Padma Vutukuru, Lalithraj Mailappa, Sekhar Dangudubiyyam2023IndiaPresentationy2023indiapresentation
A Generic Verification Methodology for Chip to Chip Interrupt Handling in a Multi-Chip SoC (3DIC)Vignesh Adiththan, Padma Vutukuru, Lalithraj Mailappa, Sekhar Dangudubiyyam2023IndiaPapery2023indiapaper
A Guide To Using Continuous Integration Within The Verification EnvironmentJason Sprott2014United StatesPresentationy2014united-statespresentation
A Guide To Using Continuous Integration Within The Verification EnvironmentJason Sprott, André Winkelmann, and Gordon McGregor2014EuropePapery2014europepaper
A Guide To Using Continuous Integration Within The Verification EnvironmentAndré Winkelmann, Jason Sprott, and Gordon McGregor2014EuropePostery2014europeposter
A Hardware and Software integrated power optimization approach with power aware simulations at SOCEldin Ben Jacob, Harshal Kothari, Sriram Kazhiyur Soundarrajan, Somasunder Kattepura Sreenath2023United StatesPapery2023united-statespaper
A Hardware and Software Integrated Power Optimization Approach with Power Aware Simulations at SOCEldin Ben Jacob, Harshal Kothari, Sriram Kazhiyur Soundarrajan, Somasunder Kattepura Sreenath2023United StatesPostery2023united-statesposter
A Holistic Approach to Low-Power, Mixed-Signal Design Verification Using Power IntentVijay Kumar Sankaran, Lakshmanan Balasubramanian, Bharath Kumar Poluri, Venkatraman Ramakrishnan, Badrinarayan Zanwar, and Qingyu Lin2016United StatesPapery2016united-statespaper
A Holistic Approach to Low-Power, Mixed-Signal Design Verification Using Power IntentQingyu Lin2016United StatesPostery2016united-statesposter
A Holistic Overview on Preventive & Corrective Action To Handle GlitchesRohit Kumar Sinha, Parimal Das2022IndiaPostery2022indiaposter
A Holistic View of Mixed-Language IP IntegrationPankaj Singh and Gaurav Kumar Verma2010United StatesPapery2010united-statespaper
A Hybrid Channel for Co-Simulation of Behavioral SystemC IP with its Full System Prototype on FPGAAntonis Papagrigoriou, Miltos D. Grammatikakis and Voula Piperaki2018EuropePresentationy2018europepresentation
A Hybrid Channel for Co-Simulation of Behavioral SystemC IP with its Full System Prototype on FPGA*Antonis Papagrigoriou, Antonis Papagrigoriou and Voula Piperaki2018EuropePapery2018europepaper
A Hybrid Verification Solution to RISC V Vector ExtensionChenghuan Li, Yanhua Feng, Liam Li2022United StatesPresentationy2022united-statespresentation
A Hybrid Verification Solution to RISC-V Vector ExtensionChenghuan Li, Yanhua Feng, and Liam Li2022United StatesPapery2022united-statespaper
A Low Maintenance Infrastructure to Jumpstart CPU Regression and Performance CorrelationThomas Soong, Christopher Browne, and Chenhui Huang2022United StatesPostery2022united-statesposter
A Low Maintenance Infrastructure to Jumpstart CPU Regression and Performance CorrelationThomas Soong, Chenhui Huang, and Christopher Browne2022United StatesPapery2022united-statespaper
A Meta-Model-Based Approach for Semantic Fault Modeling on Multiple Abstraction LevelsMichael Schwarz and Moomen Chaari, Bogdan-Andrei Tabacaru2015EuropePapery2015europepaper
A Meta-Model-Based Approach for Semantic Fault Modeling on Multiple Abstraction LevelsM. Schwarz M. Chaari, B.-A. Tabacaru, and W. Ecker2015EuropePresentationy2015europepresentation
A Meta-Modeling-Based Approach for Automatic Generation of Fault-Injection ProcessesBogdan-Andrei Tabacaru, Moomen Chaari, Wolfgang Ecker, and Thomas Kruse2014EuropePapery2014europepaper
A Meta-Modeling-Based Approach for Automatic Generation of Fault-Injection ProcessesB.-A. Tabacaru, M. Chaari, W. Ecker, and T. Kruse2014EuropePresentationy2014europepresentation
A Method to Accelerate SoC Implementation Cycle by Automatically Generating CDC ConstraintsAshish Hari, Sulabh Kumar Khare2015IndiaPresentationy2015indiapresentation
A Methodology for Evaluating SI Artefacts in DDR4-3DS PHY using Channel ModellingAditya S Kumar, Gowdra Bomanna Chethan, Shivani Maurya, Anil Deshpande, Somasunder Kattepura Sreenath2021EuropePapery2021europepaper
A Methodology for Interrupt Analysis in Virtual PlatformsPuneet Dhar2015IndiaPresentationy2015indiapresentation
A Methodology for Power and Energy Efficient Systems DesignMohammed Fahad2023United StatesPresentationy2023united-statespresentation
A Methodology for Using Traffic Generators with Real-Time ConstraintsAvinash Mehta2015IndiaPresentationy2015indiapresentation
A Methodology to Port a Complex Multi-Language Design and Testbench for Simulation AccelerationHorace Chan, Brian Vandegriend, and Efrat Shneydor2015United StatesPresentationy2015united-statespresentation
A Methodology to Port a Complex Multi-Language Design and Testbench for Simulation AccelerationHorace Chan, Brian Vandegriend, and Efrat Shneydor2015United StatesPapery2015united-statespaper
A Methodology to Reuse Unit Level Validation InfrastructureAshutosh Parkhi2017IndiaPresentationy2017indiapresentation
A Methodology to Verify Functionality, Security, and Trust for RISC-V CoresW. W. Chen, N. Tusinschi and T. L. Anderson2020EuropePapery2020europepaper
A Methodology to Verify Functionality, Security, and Trust for RISC-V CoresW. W. Chen, N. Tusinschi, and T. L. Anderson2020EuropePresentationy2020europepresentation
A Metric-driven Methodology For Firmware Verification In Simulation/Emulation EnvironmentsGoran Savić2016EuropePapery2016europepaper
A Mixed Signal System Design Methodology in SystemC AMS for Automotive Audio Power AmplifiersSkule Pramm, Joen Westendorp, and Quino Sandifort2019EuropePapery2019europepaper
A Mutually-Exclusive Deployment of Formal and Simulation Techniques Using Proof-Core AnalysisKeerthikumara Devarajegowda, Jeroen Vliegen, Goran Petrovity, and Kawe Fotouhi2017EuropePresentationy2017europepresentation
A Mutually-Exclusive Deployment of Formal and Simulation Techniques Using Proof-Core AnalysisKeerthikumara Devarajegowda, Jeroen Vliegen, Goran Petrovity, and Kawe Fotouhi2017EuropePapery2017europepaper
A New Approach for Generating View GeneratorsJohannes Schreiner, Felix Willgerodt, and Wolfgang Ecker2017United StatesPapery2017united-statespaper
A New Approach for Generating View GeneratorsJohannes Schreiner, Felix Willgerodt, and Wolfgang Ecker2017United StatesPostery2017united-statesposter
A New Approach to Easily Resolve the Hidden Timing Dangers of False Path Constraints on Clock Domain CrossingsOmri Dassa and Yossi (Joseph) Mirsky2022United StatesPapery2022united-statespaper
A New Approach to Easily Resolve the Hidden Timing Dangers of False Path Constraints on Clock Domain CrossingsOmri Dassa, Yossi Mirsky2022United StatesPresentationy2022united-statespresentation
A New Approach to Low-Power Verification: Low Power AppsMadhur Bhargava and Awashesh Kumar2018EuropePapery2018europepaper
A New Class Of RegistersM. Peryer and D. Aerne2016United StatesPapery2016united-statespaper
A New Class Of RegistersMark Peryer and David Aerne2016United StatesPostery2016united-statesposter
A New Epoch is Beginning: Are You Getting Ready for Stepping Into UVM-1.2?Roman Wang,Uwe Simm2014IndiaPapery2014indiapaper
A New Epoch is Beginning: Are You Getting Ready for Stepping Into UVM-1.2?Roman Wang, Uwe Simm2014IndiaPostery2014indiaposter
A NOVEL AND EFFICIENT METHODOLOGY TO EXPEDITE COMPLEX SOC DV CLOSURE BY LEVERAGING MODULARLY ARCHITECTURED SCALABLE ENVIRONMENTVinay Swargam, Guttapalem Yatisha, Ayush Agrawal, Sriram Kazhiyur Soundarrajan, Somasunder Kattepura Sreenath2022EuropePapery2022europepaper
A Novel Approach to Accelerate Latency of Assertion SimulationJack Yen, Felix Tung2023TaiwanPapery2023taiwanpaper
A novel approach to create multiple domain based DV architecture to address typical Verification challenges, for the DUT with mutual exclusive functionalities, using UVM DomainsSubham Banerjee and Keshava Krishna Raja2017United StatesPapery2017united-statespaper
A novel approach to create multiple domain based DV architecture to address typical Verification challenges, for the DUT with mutual exclusive functionalities, using UVM Domains 2017United StatesPostery2017united-statesposter
A novel approach to expedite MCU verification and enabling efficacious inter-processor communication in a multiprocessor SoCHarshal Kothari, Manishadevi Satyanarayana Cheernam, Vignesh Adiththan, Sriram Kazhiyur Sounderrajan, Somasunder Kattepura Sreenath2022EuropePapery2022europepaper
A novel approach to expedite MCU verification and enabling efficacious inter-processor communication in a multiprocessor SoCHarshal Kothari, Manishadevi Satyanarayana Cheernam, Vignesh Adiththan, Sriram Kazhiyur Sounderrajan, Somasunder Kattepura Sreenath2022EuropePostery2022europeposter
A novel approach to expedite MCU verification and enabling efficacious inter-processor communication in a multiprocessor SoCHarshal Kothari, Manishadevi Satyanarayana Cheernam, Vignesh Adiththan, Sriram Kazhiyur Sounderrajan, Somasunder Kattepura Sreenath2022EuropePresentationy2022europepresentation
A Novel Approach to Expedite Verification Cycle using an Adaptive and Performance Optimized Simulator Independent Verification Platform DevelopmentHarshal Kothari, Vinay Swargam, Sriram Kazhiyur Soundarrajan, Somasunder Kattepura Sreenath2022EuropePapery2022europepaper
A Novel Approach to Expedite Verification Cycle using an Adaptive and Performance Optimized Simulator Independent Verification Platform DevelopmentHarshal Kothari, Vinay Swargam, Sriram Kazhiyur Soundarrajan, Somasunder Kattepura Sreenath2022EuropePostery2022europeposter
A Novel Approach to Expedite Verification Cycle using an Adaptive and Performance Optimized Simulator Independent Verification Platform DevelopmentHarshal Kothari, Vinay Swargam, Sriram Kazhiyur Soundarrajan, Somasunder Kattepura Sreenath2022EuropePresentationy2022europepresentation
A Novel Approach to Functional Test Development and Execution using High-Speed IOMarcus Schulze Westenhorst, Jörg Simon, Markus Bücker, Klaus Dieter Hilliges, Michael Braun2021EuropePapery2021europepaper
A NOVEL APPROACH TO HARDWARE CONTROLLED POWER AWARE VERIFICATION WITH OPTIMISED POWER CONSUMPTION TECHNIQUES AT SOCEldin Ben Jacob, Harshal Kothari, Sriram Kazhiyur Soundarrajan, Somasunder Kattepura Sreenath2022EuropePresentationy2022europepresentation
A NOVEL APPROACH TO HARDWARE CONTROLLED POWER AWARE VERIFICATION WITH OPTIMISED POWER CONSUMPTION TECHNIQUES AT SOCEldin Ben Jacob, Harshal Kothari, Sriram Kazhiyur Soundarrajan, Somasunder Kattepura Sreenath2022EuropePapery2022europepaper
A Novel Approach to Hardware Controlled Power Aware Verification with Optimized Power Consumption Techniques at SOCEldin Ben Jacob, Harshal Kothari, Sriram Kazhiyur Soundarrajan, Somasunder Kattepura Sreenath2022IndiaPapery2022indiapaper
A Novel Approach To In-System SRAM Repair Verification in Embedded SoC to Bridge Gap between Test and Functional ModeHarshal Kothari, Eldin Ben Jacob, Ajay Vamshi Krishna, Sriram Kazhiyur Sounderrajan, Somasunder Kattepura Sreenath2021EuropePapery2021europepaper
A Novel Approach to Reduce Power Consumption By Bridging The Gap between Standalone Functional Scenario and Real Time Scenario at SOCEldin Ben Jacob, Harshal Kothari, Sriram Kazhiyur Soundarrajan, Somasunder Kattepura Sreenath2022IndiaPostery2022indiaposter
A Novel Approach to Reuse Firmware for Verification of Controller based Sub-Systems using PSSVishnu Ramadas, Simranjit Singh, Ashwani Aggarwal, Woojoo Space Kim , Seonil Brian Choi2021EuropePapery2021europepaper
A novel approach to standardize reusable Modular Plug and Play Skeleton Structure (MPPSS) to expedite verification closureHimanshu Dixit, Chandrachud Murali, Sriram Kazhiyur Soundarrajan, Somasunder Kattepura Sreenath2022EuropePapery2022europepaper
A novel approach to standardize reusable Modular Plug and Play Skeleton Structure (MPPSS) to expedite verification closureChandrachud Murali, Himanshu Dixit, Sriram Kazhiyur Soundarrajan, Somasunder Kattepura Sreenath2022EuropePostery2022europeposter
A Novel Approach to Verify CNN Based Image Processing UnitSumit K. Kulshreshtha, Raghavendra J N2021United StatesPapery2021united-statespaper
A Novel Performance Evaluation Methodology using Virtual Prototyping and EmulationWoojoo Space Kim2018EuropePresentationy2018europepresentation
A Novel Performance Evaluation Methodology using Virtual Prototyping and EmulationWoojooSpace Kim2019EuropePresentationy2019europepresentation
A Novel Processor Verification Methodology based on UVMAbhineet Bhojak, Tejbal Prasad, and Stephan Herrmann2015EuropePapery2015europepaper
A Novel Variation-Aware Mixed-Signal Verification Methodology to achieve High-Sigma Variation coverage at nanometer designsTibi Galambos, Sumit Vishwakarma2021United StatesPapery2021united-statespaper
A Practical Approach to Measuring and Improving the Functional Verification of Embedded SoftwareStéphane Bouvier, Nicolas Sauzède, Florian Letombe, and Julien Torrès2012United StatesPapery2012united-statespaper
A Practical Approach to Measuring and Improving the Functional Verification of Embedded SoftwareStéphane Bouvier, Nicolas Sauzède, Florian Letombe, and Julien Torrès2012United StatesPresentationy2012united-statespresentation
A Practical Look @ SystemVerilog Coverage – Tips, Tricks, and GotchasDoug Smith and John Aynsley2011United StatesPapery2011united-statespaper
A Pragmatic Approach Leveraging Portable Stimulus from Subsystem to SoC level and SoC EmulationKarandeep Singh, Aditya Chopra, Joachim Geishauser, Nitin Verma2019IndiaPresentationy2019indiapresentation
A Pragmatic Approach Leveraging Portable Stimulus from Subsystem to SoC level and SoC EmulationKarandeep Singh, Aditya Chopra, Joachim Geishauser, Nitin Verma2019IndiaPapery2019indiapaper
A Pragmatic Approach to Metastability-Aware SimulationJoseph Bulone, Roger Sabbagh2014EuropePapery2014europepaper
A Pragmatic Approach to Metastability-Aware SimulationJoseph Bulone, Roger Sabbagh2014EuropePresentationy2014europepresentation
A real world application of IP-XACT for IP packaging Bridging the usability gapPhilip Todd2014EuropePapery2014europepaper
A real world application of IP-XACT for IP packaging Bridging the usability gapPhilip Todd2014EuropePresentationy2014europepresentation
A Real-World Clock Generator Class for UVMRhitam Datta, Ankit Somani2017IndiaPresentationy2017indiapresentation
A Recipe for swift Tape-out of Derivative SoCs: A Comprehensive Validation Approach using Formal-based Sequential Equivalence and Connectivity CheckingPriyanshu Jain, Saket Gaddagi, Sandeep Kumar and Ipshita Tripathi2022IndiaPresentationy2022indiapresentation
A Reconfigurable And Fully Automated SRAM Environment Generation Flow for Robust Verification And To Accelerate DV ClosureVinay Swargam, Lakshmana Kumar Arumugasamy, Sriram Kazhiyur Sounderrajan2023IndiaPostery2023indiaposter
A Reconfigurable Interface Architecture to Protect System IPArshad Riazuddin, Shoab A. Khan2022EuropePapery2022europepaper
A Reconfigurable Interface Architecture to Protect System IPArshad Riazuddin, Shoab A. Khan2022EuropePresentationy2022europepresentation
A Reusability Combat in UVM Callbacks vs FactoryDeepak Kumar EV, Vikas Billa, Satish Dadi, Ranganath Kempanahally2015IndiaPostery2015indiaposter
A Reusable, Scalable Formal App for Verifying Any Configuration of 3D IC ConnectivityDaniel Han, Walter Sze, Benjamin Ting, and Darrow Chu2013United StatesPapery2013united-statespaper
A scalable framework to validate interconnect-based firewalls to enhance SoC security coverageAshutosh Mishra, Suresh Vasu2022IndiaPresentationy2022indiapresentation
A scalable framework to validate interconnect-based firewalls to enhance SoC security coverageAshutosh Mishra, Suresh Vasu2022IndiaPapery2022indiapaper
A Semi-Formal Verification Methodology for Efficient Configuration Coverage of Highly Configurable Digital DesignsAman Kumar, Sebastian Simon2021United StatesPapery2021united-statespaper
A Shift-left Methodology for an Early Power Closure Using EDCs and Power AnalysisMohammed Fahad2022EuropePresentationy2022europepresentation
A shift-left Methodology for an early power closure using PowerProMohammed Fahad2022EuropePapery2022europepaper
A Simplified Approach Using UVM Sequence Items for Layering Protocol VerificationHaiqian Yu and Christine Thomson2017United StatesPapery2017united-statespaper
A Simplified Approach Using UVM Sequence Items for Layering Protocol VerificationChristine Thomson and Haiqian Yu2017United StatesPresentationy2017united-statespresentation
A Simulation Expert’s Guide to Formally Proving SW Status and InterruptsNeil Johnson2023United StatesPresentationy2023united-statespresentation
A Simulation Expert’s Guide to Formally Verifying Software Status and InterruptsNeil Johnson2023United StatesPapery2023united-statespaper
A single generated UVM Register Model to handle multiple DUT configurationsSalvatore Marco Rosselli and Giuseppe Falconeri2020United StatesPresentationy2020united-statespresentation
A SMART GENERATION OF DESIGN ATTRIBUTES FOR VERIFICATION CLOSURE USING SPECMANMeirav Nitzan, Yael Kinderman, and Efrat Gavish2013United StatesPostery2013united-statesposter
A Smart Synchronizer – Pragmatic way to cross asynchronous clock domainsPriyank Parakh and Steven J Kommrusch2011United StatesPapery2011united-statespaper
A Specification-Driven Methodology for the Design and Verification of Reset Domain Crossing LogicPriya Viswanathan, Kurt Takara, Chris Kwok, and Islam Ahmed2018United StatesPapery2018united-statespaper
A Specification-Driven Methodology for the Design and Verification of Reset Domain Crossing LogicPriya Viswanathan, Kurt Takara, Chris Kwok, and Islam Ahmed2018United StatesPostery2018united-statesposter
A Step Towards Zero Silicon Bugs Using Assertion Based Assumption ValidationRohit Kumar Sinha and Babu Christie2020EuropePapery2020europepaper
A Step towards Zero Silicon Bugs: SVA Protocol Based Assumption ValidationRohit Kumar Sinha and Babu Christie2020EuropePoster, Presentationy2020europeposter presentation
A streamlined approach to validate FP matrix multiplication with formalGerardo Nahum, Nicolae Tusinschi, Seiya Nakagawa2023JapanPresentationy2023japanpresentation
A Structured Approach to verify Ties, Unconnected Signals and ParametersSaurabh Singh, Peter Limmer, and Thomas Luedeke2016EuropePresentationy2016europepresentation
A Structured Approach to verify Ties, Unconnected Signals and ParametersSaurabh Singh, Peter Limmer, and Thomas Luedeke2016EuropePapery2016europepaper
A Study on Virtual Prototyping based Design Verification MethodologyWoojoo Kim, Kunhyuk Kang, Seonil Brian Choi2023United StatesPostery2023united-statesposter
A Study on Virtual Prototyping based Design Verification MethodologyWoojoo Kim, Kunhyuk Kang, and Seonil Brian Choi.2023United StatesPapery2023united-statespaper
A Survey of Machine Learning Applications in Functional VerificationDan Yu, Harry Foster, Tom Fitzpatrick2023United StatesPapery2023united-statespaper
A Systematic Approach to Power State Table (PST) DebuggingBhaskar Pal2013United StatesPresentationy2013united-statespresentation
A Systematic Approach to Power State Table (PST) DebuggingBhaskar Pal, Suman Nandan, Kaushik De, and Rajarshi Mukherjee2013United StatesPapery2013united-statespaper
A Systematic Formal Reuse Methodology: From Blocks to SoC SystemsHao Chen, Yi Sun, Ang Li, and Dorry Cao2020United StatesPresentationy2020united-statespresentation
A Systematic Methodology for Verifying Clock Domain Crossing ReconvergencePriya Viswanathan2017IndiaPresentationy2017indiapresentation
A Systematic Take on Addressing Dynamic CDC Verification ChallengesSukriti Bisht, Sulabh Kumar Khare, and Ashish Hari2019United StatesPresentationy2019united-statespresentation
A Systematic Take on Addressing Dynamic CDC Verification ChallengesSukriti Bisht, Sulabh Kumar Khare, and Ashish Hari2019United StatesPapery2019united-statespaper
A SystemC Library for Advanced TLM VerificationMarcio F. S. Oliveira, Christoph Kuznik, Wolfgang Mueller, Wolfgang Ecker, and Volkan Esen2012United StatesPapery2012united-statespaper
A SystemC Library for Advanced Verification – Towards an Enhanced OVM/UVM for SystemCMarcio F. S. Oliveira, Christoph Kuznik, Wolfgang Mueller, Wolfgang Ecker, and Volkan Esen2012United StatesPresentationy2012united-statespresentation
A SystemC-based UVM verification infrastructureMike Bartley and Harshavardhan Narla2015EuropePapery2015europepaper
A SystemC-based UVM verification infrastructureMike Bartley and Harshavardhan Narla2015EuropePostery2015europeposter
A SystemVerilog Framework for Easy Method Advice in Object-oriented Test BenchesEric Ohana2013United StatesPapery2013united-statespaper
A SystemVerilog Framework for Easy Method Advice in Object-oriented Test BenchesEric Ohana2013United StatesPostery2013united-statesposter
A SystemVerilog Framework for Efficient Randomization of Images with Complex Inter-Pixel DependenciesAxel Voss, Gabriel Jönsson, and Lars Viklund2020United StatesPresentationy2020united-statespresentation
A Tale of Two Languages – SystemVerilog and SystemCDavid C Black2013United StatesPapery2013united-statespaper
A Tale of Two Languages: SystemVerilog & SystemCDavid C Black2013United StatesPresentationy2013united-statespresentation
A Unified Framework for Multilanguage Verification IPs IntegrationSurinder Sood, Selvakumar Krishnamoorthy, Guarav Jalan2015IndiaPresentationy2015indiapresentation
A Unified Testbench Architecture Solution for Verifying Variants of A PLL IPDeepa Ananthanarayanan and Malathi Chikkanna2015United StatesPresentationy2015united-statespresentation
A Unified Testbench Architecture Solution for Verifying Variants of the PLL IPDeepa Ananthanarayanan and Malathi Chikkanna2015United StatesPapery2015united-statespaper
A Universal DFT Verification Environment: Filling the Gap between Function Simulation and ATE TestRui Huang2016United StatesPresentationy2016united-statespresentation
A Universal DFT Verification Environment: Filling the Gap between Function Simulation and ATE TestRui Huang2016United StatesPapery2016united-statespaper
A UVM Based Methodology for Processor VerificationAbhineet Bhojak, Stephan Herrmann, and TejbalPrasad2015EuropePresentationy2015europepresentation
A UVM Based Methodology for Processor VerificationAbhineet Bhojak, Tejbal Prasad2015IndiaPresentationy2015indiapresentation
A UVM Reactive Testbench for Jitter Tolerance Measurement of High-Speed Wireline ReceiversJaeha Kim2023United StatesPostery2023united-statesposter
A UVM Reactive Testbench for Jitter Tolerance Measurement of High-Speed Wireline ReceiversJaeha Kim2023United StatesPresentationy2023united-statespresentation
A UVM SystemVerilog Testbench for 5G/LTE Multi-Standard RF TransceiverByeong Kyu Kim, Jaeha Kim2022EuropePapery2022europepaper
A UVM SystemVerilog Testbench for 5G/LTE Multi-Standard RF TransceiverByeongKyu Kim, Jaeha Kim2022EuropePresentationy2022europepresentation
A UVM SystemVerilog Testbench for Analog/Mixed-Signal Verification: A Digitally-Programmable Analog Filter ExampleCharles Dančak2022United StatesPapery2022united-statespaper
A UVM Testbench for Analog Verification: A Programmable Filter ExampleCharles Dančak2022United StatesPresentationy2022united-statespresentation
A UVM-based Approach for Rapidly Verifying Digital Interrupt StructuresChristoph Rumpler, Alexander W. Rath, and Sebastian Simon2016United StatesPresentationy2016united-statespresentation
A UVM-based Approach for Rapidly Verifying Digital Interrupt StructuresChristoph Rumpler, Alexander W. Rath, Sebastian Simon, and Heinz Endres2016United StatesPapery2016united-statespaper
A Volterra-Series Model in SystemVerilog/XMODEL for Nonlinear RF Low-Noise AmplifiersChan Young Park, Jaeha Kim2021United StatesPapery2021united-statespaper
A Wholistic Approach to Optimizing Your System Verification FlowRoss Dickson, Lance Tamura, Michael Young2023United StatesPresentationy2023united-statespresentation
Absolute GLS Verification An Early Simulation of Design Timing ConstraintsAteet Mishra, Deepak Mahajan, Shiva Belwal2015IndiaPresentationy2015indiapresentation
Abstract Layer for Firmware Access: A Unique Approach for SOC Functional VerificationGupta Girish Kumar, Rugmini Navia Vishnu, Choudhary Praval, Syed Mobeenuddin2021United StatesPapery2021united-statespaper
Accelerate Coverage Closure from Day-1 with AI-driven VerificationMalay Ganai, Will Chen, Srikanth Vadanaparthi2023United StatesPresentationy2023united-statespresentation
Accelerated Coverage Closure by Utilizing Local Structure in the RTL CodeRhys Buggy, Gokce Sarar, Guillaume Shippee, Han Nuou, Vishal Karna, Tushit Jain2021EuropePapery2021europepaper
Accelerated simulation through design partition and HDL to C++ compilationTheta Yang2017United StatesPresentationy2017united-statespresentation
Accelerated simulation through design partition and HDL to C++ compilationTheta Yang and Sga Sun2017United StatesPapery2017united-statespaper
Accelerated SOC verification Using UVM Methodology for a Mix-signal Low Power DesignGiuseppe Scata, Ashwini Padoor, Vladimir Milosevic2014EuropePapery2014europepaper
Accelerated SOC Verification Using UVM Methodology for a Mixed-Signal Low Power DesignGiuseppe Scata, Ashwini Padoor, Vladimir Milosevic2014EuropePresentationy2014europepresentation
Accelerated Verification of NAND Flash Memory using HW EmulatorSeyeol Yang, Byungwoo Kang, Seoyeon Bae, Choi Jaehyeon, Jintae Kim, Dongeun Lee, Junho Ahn2023United StatesPapery2023united-statespaper
Accelerated Verification of NAND Flash Memory using HW EmulatorSeyeol Yang, Byungwoo Kang, Seoyeon Bae, Choi Jaehyeon, Jintae Kim, Dongeun Lee, Junho Ahn2023United StatesPostery2023united-statesposter
Accelerated, High Quality SoC Memory Map Verification using Formal TechniquesCletan Sequeira, Rajesh Kedia, Lokesh Babu Pundreeka, and Bijitendra Mittra2014United StatesPapery2014united-statespaper
Accelerated, High Quality SoC Memory Map Verification using Formal TechniquesCletan Sequeira, Rajesh Kedia, Lokesh Babu Pundreeka, and Bijitendra Mittra2014United StatesPresentationy2014united-statespresentation
Accelerating and Improving FPGA Design Reviews Using Analysis ToolsAnna Tseng, Kurt Takara and Abdelouahab Ayari2020EuropePapery2020europepaper
Accelerating and Improving FPGA Design Reviews Using Analysis ToolsAbdelouahab Ayari, Anna Tseng, and Kurt Takara2020EuropePresentationy2020europepresentation
Accelerating Automotive Ethernet validation by leveraging Synopsys Virtualizer with TraceCompassAshish Gandhi, Praveen Kumar Kondugari, and Sam Tennent2020EuropePresentationy2020europepresentation
Accelerating Automotive Ethernet validation by leveraging Synopsys Virtualizer with TraceCompassAshish Gandhi, Praveen Kumar Kondugari and Sam Tennent2020EuropePapery2020europepaper
Accelerating CDC Verification Closure on Gate-Level DesignsAnwesha Choudhury and Ashish Hari2017United StatesPapery2017united-statespaper
Accelerating CDC Verification Closure on Gate-Level DesignsAnwesha Choudhury and Ashish Hari2017United StatesPresentationy2017united-statespresentation
Accelerating Error Handling Verification of Complex Systems: A Formal ApproachBhushan Parikh, Peter Graniello, and Neha Rajendra2022United StatesPapery2022united-statespaper
Accelerating Error Handling Verification Of Complex Systems: A Formal ApproachBhushan Parikh, Peter Graniello, Neha Rajendra2022United StatesPresentationy2022united-statespresentation
Accelerating Functional Verification Through Stabilization of Testbench Using AI/MLSrikanth Vadanaparthi, Pooja Ganesh, Dharmesh Mahay, Malay Ganai2023United StatesPapery2023united-statespaper
Accelerating Functional Verification Coverage Data Manipulation Using Map ReduceEman El Mandouh, A. Gamal, A. Khaled, B. Amr G. Wassal, and Elsayed Hemayed2017EuropePresentationy2017europepresentation
Accelerating Functional Verification Coverage Data Manipulation Using Map ReduceEman El Mandouh, A. Gamal, A. Khaled, T. Ibrahim, Amr G. Wassal, Elsayed Hemayed2017EuropePapery2017europepaper
Accelerating Functional Verification Through Stabilization of Testbench Using AI/MLSrikanth Vadanaparthi, Pooja Ganesh, Dharmesh Mahay, Malay Ganai2023United StatesPostery2023united-statesposter
Accelerating ML TB Integration for Reusability Using UVM ML OASaleem Khan, Prasanna Kumar2017IndiaPresentationy2017indiapresentation
Accelerating Performance, Power and Functional Validation of Computer Vision Use Cases on Next Generation Edge Inferencing ProductsYoga Priya Vadivelu, Arpan Shah, Deepinder Singh Mohoora, Ullas, and Praveen Buddireddy2022United StatesPostery2022united-statesposter
Accelerating Performance, Power and Functional Validation of Computer Vision Use Cases on Next Generation Edge Inferencing ProductsYoga Priya Vadivelu, Arpan Shah, Deepinder Singh Mohoora, Ullas, and Praveen Buddireddy2022United StatesPapery2022united-statespaper
Accelerating RTL Simulation TechniquesLior Grinzaig2015EuropePapery2015europepaper
Accelerating RTL Simulation TechniquesLior Grinzaig2015EuropePresentationy2015europepresentation
Accelerating Semiconductor Time to ISO 26262 ComplianceKirankumar Karanam2022IndiaPresentationy2022indiapresentation
Accelerating SoC Sensor Network Verification Sign-off through Dynamic and Formal SynergyVinod Usha, Shreeram Hegde, Prasad Reddy2023IndiaPostery2023indiaposter
Accelerating SoC Sensor Network Verification Sign-off through Dynamic and Formal SynergyVinod Usha, Shreeram Hegde, Prasad Reddy2023IndiaPresentationy2023indiapresentation
Accelerating SoC Verification Signoff using Save & Restart/Dynamic Test Reload Enhanced Regression flowVanshlata B, Divya M, Garima S, Seonil Brian Choi2021United StatesPapery2021united-statespaper
Accelerating SOC Verification Using Process Automation and IntegrationSeonghee Yim, Hanna Jang, Sunchang Choi, and Seonil Brian Choi2020United StatesPapery2020united-statespaper
Accelerating SOC Verification, Reducing Simulation Turnaround Time & Diskspace Optimization using Dynamic Test Reload (DTR)Prashant Hota & Shekhar Jha2023IndiaPostery2023indiaposter
Accelerating the IP Design Cycle with Formal Techniques Beyond Everyday FPVBhushan Parikh, Shaman Narayana, Buck Lem, David Cassetti2021United StatesPapery2021united-statespaper
Accelerating the SoC Integration Verification Cycle Time Leveraging the Legacy Design ConfidenceAbhinav Parashar, Prasanth Kumar Narava2022IndiaPresentationy2022indiapresentation
Accelerating the SoC Integration Verification Cycle Time Leveraging the Legacy Design ConfidenceAbhinav Parashar, Prasanth Kumar Narava2022IndiaPapery2022indiapaper
Acceleration of Coreless SoC Design-Verification using PSS on Configurable Testbench in Multi-Link PCIe SubsystemsThanu Ganapathy, Pravin Kumar, Garima Srivastava, Seonil Brian Choi, Harish Peta2021United StatesPapery2021united-statespaper
Acceleration of product and test environment development using SystemC-TLMFlorian Barrau, Alexandre Piccini, Alexandre Nabais Moreno, Mark Burton, Luc Michel, Clement Descha2018EuropePapery2018europepaper
Acceleration of product and test environment using SystemC TLMFlorian Barrau, Alexandre Piccini, Alexandre Nabais Mark Burton, Luc Michel, and Clement Deschamps2018EuropePresentationy2018europepresentation
Acceleration Startup Design & VerificationTim Sun, Barry Yin, and Haifeng Jiang2021ChinaPresentationy2021chinapresentation
Accellera FS WG UpdateAlessandra Nardi, Ghani Kanawati2022EuropePresentationy2022europepresentation
Accellera PSS being adopted in real projects TutorialAccellera Systems Initiative2022JapanPresentationy2022japanpresentation
Accellera Systems Initiative SystemC Standards UpdateBishnupriya Bhattacharya2015IndiaPresentationy2015indiapresentation
Accellera Systems InitiativeSystemC Standards UpdateMartin Barnasconi, Philipp A. Hartmann, Trevor Wieman2014EuropePresentationy2014europepresentation
Accellera Systems InitiativeSystemC Standards UpdateMartin Barnasconi, Philipp A. Hartmann, and Stephan Schulz 2015EuropePresentationy2015europepresentation
Accellera UpdateLu Dai2023IndiaPresentationy2023indiapresentation
Accellera UVM-AMS Standard UpdateTom Fitzpatrick and Tim Pylant2022United StatesPresentationy2022united-statespresentation
Accellera, Standards, and Semiconductor Supply ChainLu Dai2023TaiwanPresentationy2023taiwanpresentation
Ace’ing the Verification of SOCs with Cache Coherent Heterogeneous Multiprocessors Targeted for Optimized Power ConsumptionMehul Kumar, Tushar Mattu, and Amir Nilipour2016United StatesPapery2016united-statespaper
ACE’ing the Verification of a Coherent System Using UVMRomondy Luo, Ray Varghese, Parag Goel, Amit Sharma, Satyapriya Acharya, and Peer Mohammed2012United StatesPapery2012united-statespaper
ACE’ing the Verification of a Coherent System Using UVMParag Goel; Amit Sharma, Ray Varghese, Romondy Luo, Satyapriya Acharya, Peer Mohammed2012United StatesPresentationy2012united-statespresentation
ACE’ing the Verification of SOCs with Cache Coherent Heterogeneous Multiprocessors Targeted for Optimized Power ConsumptionMehul Kumar, Shawn Honess, Amir Nilipour, and Tushar Mattu2016United StatesPostery2016united-statesposter
Achieve Complete SoC Memory Map Verification Through Efficient Combination of Formal and Simulation TechniquesClemens Roettgermann, Peter Limmer, and Michael Rohleder2015EuropePapery2015europepaper
Achieve Complete SoC Memory Map Verification through Efficient Combination of Formal and Simulation TechniquesClemens Roettgermann, Peter Limmer, and Michael Rohleder2015EuropePresentationy2015europepresentation
Achieve Faster Debug Closure by Applying Big Data & Advanced RCA TechnologiesSundararajan Ananthakrishnan, Sundararajan PH2022IndiaPresentationy2022indiapresentation
Achieving Faster Code Coverage Closure using High-Level SynthesisSurendhar Thudukuchi Chandrapandiyan, Preetham Lakshmikanthan, Ashwani Aggarwal, Youngchan Lee, Youngsik Kim, Seonil Brian Choi2021EuropePapery2021europepaper
Achieving Faster Reset Verification Closure with Intelligent Reset Domain Crossings DetectionMilanpreet Kaur and Sulabh Kumar Khare2020EuropePapery2020europepaper
Achieving Faster Reset Verification Closure with Intelligent Reset Domain Crossings DetectionMilanpreet Kaur and Sulabh Kumar Khare2020EuropePoster, Presentationy2020europeposter presentation
Achieving First-Time Success with a UPF-based Low Power Verification FlowKjeld Svendsen, Chuck Seeley, and Erich Marschner2011United StatesPapery2011united-statespaper
Achieving Portable Stimulus with Graph-Based Verification – TutorialJosef Derner, Holger Horbach, Frederic Krampac, Staffan Berg2014EuropePresentationy2014europepresentation
Achieving Real Time Performance for Algorithms Using SOC TLM ModelSaurin Patel, Pushkar Sareen, Sharath Naidu, Baljinder S Sood2015IndiaPresentationy2015indiapresentation
Achieving system dependability: the role of automation and scalabilityAlessandra Nardi2022EuropePapery2022europepaper
Achieving system dependability: the role of automation and scalabilityTeo Cupaiuolo, Paul Baron, Ghani Kanawati2022EuropePresentationy2022europepresentation
Activity Trend Guided Efficient Approach to Peak Power Estimation Using EmulationGaurav Saharawat, Saurabh Jain, and Madhur Bhatia2016United StatesPapery2016united-statespaper
Activity Trend Guided Efficient Approach to Peak Power Estimation Using EmulationGaurav Saharawat, Saurabh Jain, and Madhur Bhatia2016United StatesPresentationy2016united-statespresentation
Adapting the UVM Register Abstraction Layer for Burst AccessMark Villalpando2016United StatesPresentationy2016united-statespresentation
Adapting the UVM Register Layer for Burst AccessM. P. Villalpando2016United StatesPapery2016united-statespaper
Adaptive Test Generation for Fast Functional Coverage ClosureAzade Nazi, Qijing Huang, Hamid Shojaei, Hodjat Asghari Esfeden, Azalia Mirhoseini, Richard Ho2022United StatesPresentationy2022united-statespresentation
Adaptive Test Generation for Fast Functional Coverage ClosureAzade Naziz, Qijing Huang, Hamid Shojaei, Hodjat Asghari Esfeden, Azalia Mirhosseiniz, and Richard Ho2022United StatesPapery2022united-statespaper
Adaptive UVM AMOD Testbench for Configurable DSI IPKrishnapal Singh, Pavan Yeluri, Ranjith Nair2019IndiaPapery2019indiapaper
Adding Agility to Hardware Design-Verification using UVM & AssertionsFrancois Cerisier, Ajeetha Kumari, Gurubasappa, Srujana Reddy2017IndiaPresentationy2017indiapresentation
Addressing Asynchronous FIFO Verification ChallengeAnchal Gupta, Ashish Hari, Sulabh Kumar Khare2018EuropePresentationy2018europepresentation
Addressing Asynchronous FIFO Verification ChallengeAnchal Gupta, Ashish Hari and Sulabh Kumar Khare2019EuropePresentationy2019europepresentation
Addressing Challenges in Verification of DSP based Audio IPs using a Novel Hybrid-TestBench ArchitectureSuvadeep Bose, Kanak Singh Rajput, Parag S Lonkar, Somasunder K Sreenath2021United StatesPapery2021united-statespaper
Addressing HW/SW Interface Quality through StandardsDavid Murray and Sean Boyan2012United StatesPapery2012united-statespaper
Addressing Renewed Gate Level Simulation Needs for 10nm-28nm and BelowGagandeep Singh2016EuropePapery2016europepaper
Addressing Shared IP Instances in a Multi-CPU System Using Fabric Switch A Comprehensive SolutionPriyanka Gharat, Avnita Pal, Sastry Puranapanda2023JapanPapery2023japanpaper
Addressing Shared IP Instances in a MultiCPU System Using Fabric SwitchPriyanka Gharat, Avnita Pal, Sastry Puranapanda2023JapanPresentationy2023japanpresentation
Addressing the Challenges of ABV in Complex SOCsRithin A N, Arif M, Rupinjeet Singh, Jeevan2015IndiaPostery2015indiaposter
Addressing the Challenges of Generically Specifying Power Intent with Multi-Rail MacrosBrandon Skaggs2020United StatesPostery2020united-statesposter
Addressing the Challenges of Generically Specifying Power Intent with Multi-Rail MacrosBrandon S. Skaggs2020United StatesPapery2020united-statespaper
Addressing the Challenges of Reset Verification in SoC DesignsChris Kwok, Priya Viswanathan, and Ping Yeung2015United StatesPresentationy2015united-statespresentation
Addressing the Challenges of Reset Verification in SoC DesignsChris Kwok, Priya Viswanathan, and Ping Yeung2015United StatesPapery2015united-statespaper
Addressing the Complex Challenges in Low-Power Design and VerificationMadhur Bhargava, Durgesh Prasad, Jitesh Bansal, and Gabriel Chidolue2016EuropePresentationy2016europepresentation
Addressing the Complex Challenges in Low-Power Design and VerificationMadhur Bhargava, Durgesh Prasad, and Jitesh Bansal2016EuropePapery2016europepaper
Addressing the verification challenge of SERDES-based FPGAs: The performance/accuracy/efficiency trade-offChris Schalick2011United StatesPapery2011united-statespaper
Adopting Accellera’s Portable Stimulus Standard: Early Development and Validation using Virtual PrototypingSimranjit Singh, Ashwani Aggarwal, Harshita Prabha, Vishnu Ramadas, Seonil Brian Choi, Woojoo Space Kim2021United StatesPapery2021united-statespaper
Adopting UVM for FPGA VerificationKamalesh Vikramasimhan, Shridevi Biradar2017IndiaPresentationy2017indiapresentation
Adopting UVM for safety Verification requirementsSrinivasan Venkatarmanan, Hemakiran Kolli, Gurubasappa Kinagi, and Satinder Paul Singh2017EuropePresentationy2017europepresentation
Adopting UVM for safety Verification requirementsSrinivasan Venkatarmanan, Hema Kiran, and Satinder Paul Singh2017EuropePapery2017europepaper
Advance Approach for Formal Verification of Configurable Pulse Width Modulation ControllerSumit K. Kulshreshtha, Raghavendra J N2021EuropePapery2021europepaper
Advance your Design and Verification Flow Using IP XACTEdwin Dankert, Maximilian Albrecht and Vincent Thibaut2019EuropePresentationy2019europepresentation
Advanced Digital-Centric Mixed-Signal MethodologyMichael Kontz, David Lacey, and Peter Maroni2015United StatesPresentationy2015united-statespresentation
Advanced Digital-Centric Mixed-Signal MethodologyMichael Kontz, David Lacey, and Peter Maroni2015United StatesPapery2015united-statespaper
Advanced Functional Verification for Automotive System on a ChipJaein Hong, Jieun Jeong, Namyoung Kim, Hongkyu Kim, and Sungcheol Park2022United StatesPapery2022united-statespaper
Advanced Functional Verification for Automotive System on a ChipJaein Hong, Jieun Jeong , Namyoung Kim, Hongkyu Kim, Sungcheol Park,2022United StatesPresentationy2022united-statespresentation
Advanced Functional Verification Methodology Using UVM For Complex DSP Algorithms In Mixed Signal RF SoCsSrinivas Aluri and Jaimin Mehta2014United StatesPresentationy2014united-statespresentation
Advanced Functional Verification Methodology Using UVM for complex DSP Algorithms in Mixed Signal RF SoCsSrinivas Aluri and Jaimin Mehta2014United StatesPapery2014united-statespaper
Advanced RISC-V Verification Technique Learnings for SoC ValidationDavid Kelf, Nambi Ju2023IndiaPresentationy2023indiapresentation
Advanced RISC-V Verification Technique Learnings for SoC ValidationDavid Kelf, Nambi Ju2023IndiaPresentationy2023indiapresentation
Advanced SOC Randomization Tool for Complex SOC Level VerificationMarvin Mei, Chris Weller, Michael Sedmak, and Zhiqiang Ren2020United StatesPapery2020united-statespaper
Advanced specification driven methodology for quick and accurate RDC signoffSai Jagadeesh Ambati, Sulabh Kumar Khare, Atul Sharma2023IndiaPostery2023indiaposter
Advanced Techniques for ARM L2 Cache Verification in an Accelerated Hardware and Software environmentRob Pelt and Jay O’Donnell2012United StatesPapery2012united-statespaper
Advanced Techniques for AXI Fabric Verification in a Software/Hardware OVM EnvironmentGalen Blake and Steve Chappell2012United StatesPapery2012united-statespaper
Advanced Techniques to Accomplish Power Aware CDC VerificationRohit K Sinha, Ashish Hari and Sulabh Kumar Khare2018EuropePapery2018europepaper
Advanced Testbench Configuration with ResourcesMark Glasser2011United StatesPapery2011united-statespaper
Advanced Usage Models for Continuous Integration in Verification EnvironmentsJohn Dickol2015United StatesPapery2015united-statespaper
Advanced Usage Models for Continuous Integration in Verification EnvironmentsJohn Dickol2015United StatesPresentationy2015united-statespresentation
Advanced UVM Coding TechniquesDavid Long2016IndiaPresentationy2016indiapresentation
Advanced UVM Command Line ProcessorSiddharth Krishna Kumar2022United StatesPresentationy2022united-statespresentation
Advanced UVM Command Line Processor for Central Maintenance and Randomization of Control KnobsSiddharth Krishna Kumar2022United StatesPapery2022united-statespaper
Advanced UVM in the real world ‐ TutorialMark Litterick, Jason Sprott, Jonathan Bromley, and Vanessa Cooper2014EuropePresentationy2014europepresentation
Advanced UVM Register ModelingMark Litterick and Marcus Harnisch2014United StatesPapery2014united-statespaper
Advanced UVM Register ModelingMark Litterick2014United StatesPresentationy2014united-statespresentation
Advanced UVM Tutorial Taking Reuse to the Next LevelMark Litterick, Jason Sprott, and Jonathan Bromley2015EuropePresentationy2015europepresentation
Advanced UVM, Multi-Interface, Reactive Stimulus TechniquesClifford E. Cummings, Stephen DOnofrio, Jeff Wilcox, Heath Chambers2021United StatesPapery2021united-statespaper
Advanced, High Throughput Debug From Design to SiliconGordon Allan & Michael Horn2015EuropePresentationy2015europepresentation
Advancements in UVM Test Bench Architecture for Verifying High Speed MIPI MPHY 5.0 IPEldhose P.M, Sagar Jayakrishnan, Suraj Vijay Shetty, Kuntal Pandya, Parag S. Lonkar2022IndiaPresentationy2022indiapresentation
Advancements in UVM Test Bench Architecture for Verifying High Speed MIPI MPHY 5.0 IPEldhose P.M, Sagar Jayakrishnan, Suraj Vijay Shetty, Kuntal Pandya, Parag S. Lonkar2022IndiaPapery2022indiapaper
Advances in RF Transceiver SoC Verification: A Walk-Through over a 2.4 GHz Multi-Modal Integrated Transceiver Verification CycleCharul Agrawal, Ashwin Vijayan, and Jakub Dudek2017United StatesPresentationy2017united-statespresentation
Advances in RF Transceiver SoC Verification: A Walk-Through over a 2.4 GHz Multi-Modal Integrated Transceiver Verification CycleCharul Agrawal, Ashwin Vijayan, and Jakub Dudek2017United StatesPapery2017united-statespaper
Advancing system-level verification using UVM in SystemCMartin Barnasconi, François Pêcheux, and Thilo Vörtler2014United StatesPapery2014united-statespaper
Advancing system-level verification using UVM in SystemCMartin Barnasconi, François Pêcheux, and Thilo Vörtler2014United StatesPresentationy2014united-statespresentation
Advancing the SystemC EcosystemPhilipp A Hartmann, Jerome Cornet, Martin Scnierginger, and Frederic Doucet2017EuropePresentationy2017europepresentation
Advancing traceability and consistency in Verification and ValidationWalter Tibboel and Martin Barnasconi2014EuropePapery2014europepaper
Advancing traceability and consistency in Verification and ValidationWalter Tibboel and Martin Barnasconi2014EuropePostery2014europeposter
Advantages of using UVM/System Verilog IEEE standards to Verify Complex Probabilistic Constellation Shaping Design for a Coherent DSP ASIC.Nipun Bhatt2020United StatesPostery2020united-statesposter
Advantages of using UVM/System Verilog IEEE standards to Verify Complex Probabilistic Constellation Shaping Design for a Coherent DSP ASIC.Nipun Bhatt2020United StatesPapery2020united-statespaper
Agile and dynamic functional coverage using SQL on the cloudFilip Dojcinovic and Mihailo Ivanovic2018EuropePresentationy2018europepresentation
Agile and dynamic functional coverage using SQL on the cloudFilip Dojcinovic and Mihailo Ivanovic2019EuropePresentationy2019europepresentation
Agile Approaches to ASIC Verification (A3V) – A Novel Agile Flow in Functional VerificationAdithya Rangan, Vidyasagar Kantamneni, Vishal Dalal2022EuropePapery2022europepaper
Agile Approaches to ASIC Verification (A3V) – A Novel Agile Flow in Functional VerificationAdithya Rangan CK, Vidyasagar Kantamneni, Vishal Dalal2022EuropePresentationy2022europepresentation
Agnostic UVM-XX Testbench GenerationJacob Andersen, Stephan Gerth, and Filippo Dughetti2016EuropePresentationy2016europepresentation
Agnostic UVM-XX Testbench Generation Replace XX (almost) as you see fit!Jacob Andersen, Stephan Gerth, and Filippo Dughetti2016EuropePapery2016europepaper
AI Driven VerificationCurtis Tsai2023TaiwanPapery2023taiwanpaper
Algorithm Verification with Open Source and System VerilogAndra Socianu and Daniel Ciupitu2014EuropePresentationy2014europepresentation
AMS Verification at SoC Level: A Practical Approach for Using VAMS vs SPICE ViewsNitin Pant, Gautham Harinarayan, Manmohan Rana2015IndiaPresentationy2015indiapresentation
AMS Verification in a UVM EnvironmentSilvia Strähle2016EuropePresentationy2016europepresentation
AMS Verification in a UVM EnvironmentSilvia Strähle2016EuropePapery2016europepaper
An Accelerated System Level CPU Verification through Simulation-Emulation Co-ExistenceRuchi Misra, Samridh Deva, Sai Krishna Pallekonda, Alok Kumar, Garima Srivastava, Youngsik Kim, Seonil Brian Choi2022EuropePapery2022europepaper
An Accelerated System Level CPU Verification through Simulation-Emulation Co-ExistenceRuchi Misra, Samridh Deva, P Sai Krishna, Alok Kumar, Garima Srivastava YoungSik Kim, Seonil Brian Choi2022EuropePresentationy2022europepresentation
An Analysis of Stimulus Techniques for Efficient Functional Coverage ClosureCaglayan Yalein, Aileen McCabe2021EuropePapery2021europepaper
An Analytical View of Test Results Using CityScapesMarkus Borg, Andreas Brytting, and Daniel Hansson2018United StatesPapery2018united-statespaper
An Analytical View of Test Results Using CityScapesMarkus Borg, Andreas Brytting, and Daniel Hansson2018United StatesPostery2018united-statesposter
An Approach for Faster Compilation of Complex Verification Environment: The USB3.0 ExperienceMahesha Shankarathota, Vybhava S, and Indrajit Dutta2013United StatesPapery2013united-statespaper
An Approach for Faster Compilation of Complex Verification Environment: The USB3.0 ExperienceMahesha Shankarathota, Vybhava S, and Indrajit Dutta2013United StatesPostery2013united-statesposter
An Assertion Based Approach to Implement VHDL Functional CoverageMichael Wazlowski, Susan Eickhoff, Michael Debole, and Tagbo Ekwueme-Okoli2014United StatesPresentationy2014united-statespresentation
An Assertion Based Approach to Implement VHDL Functional CoverageSusan Eickhoff, Michael DeBole, and Tagbo Ekwueme-Okoli2014United StatesPapery2014united-statespaper
An Automated Formal Verification Flow for Safety RegistersHolger Busch2015EuropePapery2015europepaper
An Automated Formal Verification Flow for Safety RegistersHolger Busch2015EuropePresentationy2015europepresentation
An Automated Pre-silicon IP Trustworthiness Assessment for Hardware AssuranceJohn Hallman, David Landoll, Sergio Marchese, Sven Beyer, Garrett Chan, Salam Zantout and Vikram Rao2020EuropePapery2020europepaper
An Automated Pre-silicon IP Trustworthiness Assessment for Hardware AssuranceJ. Hallman, D. Landoll, S. Marchese, S. Beyer, G. Chan, S. Zantout, and V. Rao2020EuropePoster, Presentationy2020europeposter presentation
An Automated Systematic CDC Verification Methodology based on SDC SetupAshish Hari, Sulabh Kumar Khare2014IndiaPresentationy2014indiapresentation
An Automated Validation Framework for Power Management and Data Retention Logic Kits of Standard Cell LibraryAkshay Kamath, Bharath Kumar, Sunil Aggarwal, Subramanian Parameswaran, Parag Lonkar, Debi Prasanna, Somasunder Sreenath2021United StatesPapery2021united-statespaper
An Automatic Visual System Performance Stress Test for TLM DesignsGeorge F. Frazier, Neeti Bhatnagar, and Woody Larue2011United StatesPapery2011united-statespaper
An Easy VE/DUV Integration ApproachUwe Simm2015EuropePapery2015europepaper
An Easy VE/DUV Integration ApproachUwe Simm2015EuropePresentationy2015europepresentation
An Easy VE/DUV Integration ApproachUwe Simm2015United StatesPresentationy2015united-statespresentation
An Effective Design and Verification Methodology for Digital PLLBiju Viswanathan, Rajagopal P.C, Ramya Nair S. R, Joseph J Vettickatt, and Jobin Cyriac2014EuropePapery2014europepaper
An Effective Design and Verification Methodology for Digital PLLBiju Viswanathan, Rajagopal P.C, Ramya Nair S. R, Joseph J Vettickatt, and Jobin Cyriac2014EuropePostery2014europeposter
An efficient analog fault-injection flow harnessing the power of abstractionRenaud Gillon, Enrico Fraccaroliy, and Franco Fummi2019United StatesPostery2019united-statesposter
An Efficient and Modular Approach for Formally Verifying Cache ImplementationsM, Achutha KiranKumar V and Abhijith A Bharadwaj2018United StatesPapery2018united-statespaper
An Efficient and Modular Approach for Formally Verifying Cache implementationsM Achutha KiranKumar V, Abhijith A Bharadwaj, and Bindumadhava S S2018United StatesPresentationy2018united-statespresentation
An Efficient Method to Verify Dynamic IP Clock Frequency on SOC Level by Using Auto-generated Active Clock MonitorJaecheon Kim, Gyuhong Lee, Yeonho Jeong, Hyunsun Ahn, Daewoo Kim, Seonil Brian Choi2021United StatesPapery2021united-statespaper
An Efficient Methodology for Mutation-Coverage-Collection of Formal-Property-CheckingHolger Busch2022EuropePapery2022europepaper
An Efficient Methodology for Mutation-Coverage-Collection of Formal-Property-CheckingHolger Busch2022EuropePresentationy2022europepresentation
An efficient requirements-driven and scenario-driven verification flowHeino van Orsouw 2017EuropePresentationy2017europepresentation
An efficient requirements-driven and scenario-driven verification flowWalter Tibboel, Heino van Orsouw, and Shuang Han2017EuropePapery2017europepaper
An Efficient Verification Framework for Audio/Video Interface ProtocolsNoha Shaarawy, Mustafa Khairallah, Khaled Khalifa, Hany Salah, Amr Salah and Maged Ghoneima2015EuropePapery2015europepaper
An Efficient Verification Methodology to Achieve DV Sign-off with Emphasis on Quality and Quicker DV CyclePiyush Agnihotri, Nirmal Kumar, Arnab Ghosh, Parag S Lonkar 2023IndiaPresentationy2023indiapresentation
An Efficient Verification Methodology to Achieve DV Sign-off with Emphasis on Quality and Quicker DV CyclePiyush Agnihotri, Nirmal Kumar, Arnab Ghosh, Parag S Lonkar2023IndiaPapery2023indiapaper
An end-to-end approach to Design and Verify Battery Management Systems: from Requirements to Virtual Field TestingIrina Costachescu, Marius-Lucian Andrei, Manuel Fedou, Conrado Ramirez Garcia, 2022EuropePapery2022europepaper
An end-to-end approach to Design and Verify BMS: from Requirements to Virtual Field TestingConrado Ramirez, Irina Costachescu, Marius Andrei, Carlos Villegas2022EuropePresentationy2022europepresentation
An Enhanced DV Approach for Effectively Verifying High Speed, Low Power MIPI-MPHY 5.0 DesignsEldhose PM, Kuntal Pandya, Sagar Jayakrishanan, Suraj Vijay Shetty, Parag S Lonkar2023United StatesPostery2023united-statesposter
An Enhanced DV Approach for Effectively Verifying High Speed, Low Power MIPI-MPHY5.0 DesignsEldhose P.M, Sagar Jayakrishnan, Suraj Vijay Shetty, Kuntal Pandya, Parag S. Lonkar2023United StatesPapery2023united-statespaper
An Enhanced Stimulus and Checking Mechanism on Cache VerificationChenghuan Li, Xiaohui Zhao, and Yunyang Song2019United StatesPostery2019united-statesposter
An Equivalent Modeling Approach for High-Density DRAM Array System-Level Design-Space Exploration in SystemVerilogSeyoung Kim, Jaeha Kim2021United StatesPapery2021united-statespaper
An Experience of Complex Design Validation: How to Make Semiformal Verification WorkSabih Agbaria, Dan Carmi, Orly Cohen, Dmitry Korchemny, Michael Lifshits, and Alexander Nadel2010United StatesPapery2010united-statespaper
An experience to finish code refinement earlier at behavioral levelDae-Han Youn, Sik Kim, Byeong Min, and Kyu-Myung Choi2011United StatesPapery2011united-statespaper
An Expert System Based Tool for Pre-design Chip Power EstimationBhanu Singh, Arunprasath Shankar, Francis Wolff, and Christos Papachristou2014United StatesPapery2014united-statespaper
An Expert System Based Tool for Pre-design Chip Power EstimationBhanu Singh, Arunprasath Shankar, Francis Wolff, and Christos Papachristou2014United StatesPresentationy2014united-statespresentation
An Industry Proven UVM Reuse Methodology for Coverage Driven Block Level Verification to SW Driven Chip Level Verification Across Simulation & EmulationPradeep Salla, Keshav Joshi2016IndiaPresentationy2016indiapresentation
An Innovative Methodology for RTL and Verification IP Sharing Between Two ProjectsAlbert Xu and Joonyoung Kim2013United StatesPapery2013united-statespaper
An Innovative Methodology for RTL and Verification IP Sharing Between Two ProjectsAlbert Xu and Joonyoung Kim2013United StatesPostery2013united-statesposter
An Innovative Methodology for Verifying Mixed-Signal ComponentsFabian Delguste and Graeme Nunn2011United StatesPapery2011united-statespaper
An Integrated Framework for Power Aware VerificationHarsh Chilwal, Manish Jain, and Bhaskar Pal2012United StatesPapery2012united-statespaper
An Introduction to the Accellera Portable Stimulus StandardSrivatsa Vasudevan, Pradeep Salla, Sharon Rosenberg, Adnan Hamid, Karthick Gururaj, Srivatsa Vasudevan, Bishnupriya Bhattacharya2017IndiaPresentationy2017indiapresentation
An Introduction to using Event-B for Cyber-Physical System Specification and DesignJohn Colley and Michael Butler2014EuropePresentationy2014europepresentation
An Open and Fast Virtual Platform for TriCore™-based SoCs Using QEMUBastian Koppelmann, Bernd Messidat, Markus Becker, and Christoph Kuznik2014EuropePapery2014europepaper
An Open and Fast Virtual Platform for TriCore™-based SoCs Using QEMUBastian Koppelmann2014EuropePostery2014europeposter
An open and flexible SystemC to VHDL workflow for rapid prototypingBastian Farkas, Syed Abbas Ali Shah, Jan Wagner, Rolf Meyer, Rainer Buchty, and Mladen Berekovic2016EuropePapery2016europepaper
An open and flexible SystemC to VHDL workflow for rapid prototypingBastian Farkas2016EuropePresentationy2016europepresentation
An Overview of Ethernet 10Base-T1S in Automotive SoC and its VerificationBipul Halder, Jagtar Singh, Sahana S, Pushpal Nautiyal, Gaurav Chugh2023IndiaPresentationy2023indiapresentation
An Overview of Security Annotation for Electronic Design Integration (SA-EDI) StandardSohrab Aftabjahani2022United StatesPresentationy2022united-statespresentation
Analog Modelling to Suit Emulation for Hardware-Software Co-VerificationSaranya Das2020EuropePoster, Presentationy2020europeposter presentation
Analog Modelling to Suit Emulation for Hardware-Software Co-VerificationSaranya Das2020EuropePapery2020europepaper
Analog Transaction Level Modeling for Verification of Mixed-Signal-BlocksAlexander W. Rath, Volkan Esen, and Wolfgang Ecker2012United StatesPapery2012united-statespaper
Analog Transaction Level Modeling for Verification of Mixed-Signal-BlocksAlexander W. Rath2012United StatesPresentationy2012united-statespresentation
Analysis of TLM-2.0 and it’s Applicability to Non Memory Mapped InterfacesGuillaume Delbergue, Mark Burton, and Bertrand Le Gal and Christophe Jego2016United StatesPapery2016united-statespaper
Analysis of TLM-2.0 and it’s Applicability to Non Memory Mapped InterfacesDelbergue Guillaume2016United StatesPresentationy2016united-statespresentation
Apples versus Apples HVL Comparison Finally Arrives Comparing OVM SystemVerilog to OVM eBrett Lammers and Riccardo Oddone2010United StatesPapery2010united-statespaper
Application Abstraction Layer: The Carpool Lane on the SoC Verification FreewayAbhisek Verma, Varun S, and Subramanian Kuppusamy2015United StatesPapery2015united-statespaper
Application Abstraction Layer: The Carpool Lane on the SoC Verification FreewayAbhisek Verma, Varun S, and Subramanian Kuppusamy2015United StatesPresentationy2015united-statespresentation
Application of SystemC/SystemC-AMS in 3G Virtual PrototypingTao Huang and Stefan Heinen2011United StatesPapery2011united-statespaper
Application Optimized HW/SW Design & Verification of a Machine Learning SoCLauro Rizzatti, Russell Klein, Stephen Bailey, and Andrew Meier2020United StatesPresentationy2020united-statespresentation
Applying Big Data to Next-Generation Coverage Analysis and ClosureTom Fitzpatrick, Darron May, Thom Ellis, Athira Panicker and Francisco Chen2021ChinaPresentationy2021chinapresentation
Applying Design Patterns to accelerate development of reusable, configurable and portable UVCs. 2015EuropePresentationy2015europepresentation
Applying Design Patterns to Maximize Verification Reuse at Block, Subsystem and System on Chip LevelPaul Kaunds, Revati Bothe and Jesvin Johnson2019EuropePresentationy2019europepresentation
Applying High-Level Synthesis for Synthesizing Hardware Runtime STL Monitors of Mission-Critical PropertiesK. Selyunin, T. Nguyen, A.D. Basa, E. Bartocci, D. Nickovic, and R. Grosu2016United StatesPapery2016united-statespaper
Applying High-Level Synthesis for Synthesizing Hardware Runtime STL Monitors of Mission-Critical PropertiesKonstantin Selyunin, Thang Nguyen, Andrei-Daniel Basa, Ezio Bartocci, Dejan Nickovic, and Radu Grosu2016United StatesPresentationy2016united-statespresentation
Applying Test-Driven Development Methods to Design Verification SoftwareDoug Gibson and Mike Kontz2014United StatesPresentationy2014united-statespresentation
Applying Test-Driven Development Methods to Design Verification Software in UVM-eDoug Gibson and Mike Kontz2014United StatesPapery2014united-statespaper
Applying Transaction-level Debug and Analysis Techniques to DUT Simulated Activity Using Data- Mining TechniquesLeo Chai, Bindesh Patel, and Jun Zhao2014United StatesPapery2014united-statespaper
Applying Transaction-level Debug and Analysis Techniques to DUT Simulated Activity Using Data-Mining TechniquesLeo Chai, Jun Zhao, and Bindesh Patel2014United StatesPostery2014united-statesposter
ARC EM Core with Safety Package – ISO 26262 CertificationVikas Bhandari2015IndiaPostery2015indiaposter
Architecting “Checker IP” for AMBA protocolsSrinivasan Venkataramanan and Ajeetha Kumari2017United StatesPapery2017united-statespaper
Architecting “Checker IP” for AMBA protocolsAjeetha Kumari and Srinivasan Venkataramanan2017United StatesPresentationy2017united-statespresentation
Architectural Evaluation Of a Programmable Accelerator For Baseband, Phy and Video Applications Using High Level SynthesisAndy Fox, Tigran Sargsyan, and Steven Anderson2014United StatesPostery2014united-statesposter
Architectural Evaluation of a Programmable Accelerator for Baseband, Phy and Video Applications using High Level SynthesisAndy Fox, Tigran Sargsyan, and Steven Anderson2014United StatesPapery2014united-statespaper
Architectural Formal Verification of System-Level DeadlocksMandar Munishwar and Vigyan Singhal2018United StatesPresentationy2018united-statespresentation
Architectural Formal Verification of System-Level DeadlocksMandar Munishwar1, Naveed Zaman, Anshul Jain, HarGovind Singh, and Vigyan Singhal2018United StatesPapery2018united-statespaper
Architecturally Scalable Testbench for Complex SoCSenthilnath Subbarayan, Arulanandan Jacob, Sandeep Kumar2019IndiaPapery2019indiapaper
Architectures to tradeoff performance vs debug for software development on emulation platformsLoganath Ramachandran, Ragavendar Swamisai, Makato Ikeda2023JapanPresentationy2023japanpresentation
Architectures to Tradeoff Performance vs. Debug for Software Development on Emulation PlatformLoganath Ramachandran, Ragavendar Swamisai, Makato Ikeda2023JapanPapery2023japanpaper
Are OVM & UVM Macros Evil? A Cost-Benefit AnalysisAdam Erickson2011United StatesPapery2011united-statespaper
Are you really confident that you are getting the very best from your verification resources?Darron May and Fritz Ferstl2014United StatesPapery2014united-statespaper
Are you really confident that you are getting the very best from your verification resources?Darron May and Fritz Ferstl2014United StatesPostery2014united-statesposter
Are You Safe Yet? Safety Mechanism Insertion and ValidationPing Yeung, Jin Hou, Vinayak Desai, and Jacob Wiltgen2020United StatesPapery2020united-statespaper
Are You Safe Yet? Safety Mechanism Insertion and ValidationPing Yeung, Jin Hou, Vinayak Desai, and Jacob Wiltgen2020United StatesPostery2020united-statesposter
Are You Smarter Than Your Testbench? With a little work you can be.Rich Edelman and Raghu Ardeishar2015United StatesPapery2015united-statespaper
Are You Smarter Than Your Testbench? With a little work you could beRich Edelman and Raghu Ardeishar2015United StatesPostery2015united-statesposter
ASIC-Strength Verification in a Fast-Moving FPGA WorldBryan Murdock2013United StatesPapery2013united-statespaper
ASIC-Strength Verification in a Fast-Moving FPGA WorldBryan Murdock2013United StatesPostery2013united-statesposter
ASIL B/D Fault Campaign Strategy for Computer Vision core using Soft Test Library (STL)Shiva Pokala, Vasista A2023IndiaPresentationy2023indiapresentation
Assertion Based Self-checking of Analog Circuits for Circuit Verification and Model Validation in SPICE and Co-simulation EnvironmentsLakshmanan Balasubramanian, Pooja Sundar, and Timothy W Fischer2011United StatesPapery2011united-statespaper
Assertion-based Verification for Analog and Mixed Signal DesignsSrinivas Aluri2017United StatesPapery2017united-statespaper
Assertion-based Verification for Analog andMixed Signal DesignsSrinivas Aluri2017United StatesPostery2017united-statesposter
Assisting Fault Injection Simulations for Functional Safety Sign-off using FormalPulicharla Ravindrareddy2019IndiaPresentationy2019indiapresentation
Assisting Fault Injection Simulations for Functional Safety Sign-off using FormalPulicharla Ravindrareddy2019IndiaPapery2019indiapaper
Asynchronous Behaviors Meet Their Match with SystemVerilog AssertionsDoug Smith2010United StatesPapery2010united-statespaper
Attack Your SoCPowerChallenges with Virtual PrototypingStefan Thiel and Gunnar Braun2014EuropePresentationy2014europepresentation
Autocuration: An Implementation of a Continuous Integration System Employed in the Development of AMD’s Next-generation Microprocessor CoreWei Foong Thoo and David A. Burgoon2012United StatesPresentationy2012united-statespresentation
Autocuration: An Implementation of a Continuous Integration System Employed in the Development of AMD’s Next-generation Microprocessor CoreWei Foong Thoo and David A. Burgoon2012United StatesPapery2012united-statespaper
Automate and Accelerate RISC-V Verification by Compositional Formal MethodsYean-Ru Chen, Cheng-Ting Kao, Yi-Chun Kao, Tien-Yin Cheng, Chun-Sheng Ke and Chia-HaoHsu2018EuropePresentationy2018europepresentation
Automate and Accelerate RISC-V Verification by Compositional Formal MethodsYean-Ru Chen, Cheng-Ting Kao, Yi-Chun Kao, Tien-Yin Cheng, Chun-Sheng Ke and Chia-Hao Hsu2019EuropePresentationy2019europepresentation
Automate Interrupt Checking with UVM Macros and PythonAleksandra Dimanic, Nemanja Stevanovic, Yoav Furman, Itay Henigsberg2022EuropePapery2022europepaper
Automate Interrupt Checking with UVM Macros and PythonAleksandra Dimanic, Nemanja Stevanovic, Yoav Furman, Itay Henigsberg2022EuropePresentationy2022europepresentation
Automated approach to Register Design and Verification of complex SOCBallori Banerjee, Subashini Rajan, and Silpa Naidu2011United StatesPapery2011united-statespaper
Automated code generation for Early AURIX TM VPPratheek Mahesh and Dineshkumar Selvaraj2021IndiaPostery2021indiaposter
Automated code generation for Early AURIX TM VPPratheek Mahesh and Dineshkumar Selvaraj2021IndiaPresentationy2021indiapresentation
Automated Comparison of Analog Behavior in a UVM EnvironmentSebastian Simon2014United StatesPresentationy2014united-statespresentation
Automated Comparison of Analog Behavior in a UVM EnvironmentSebastian Simon, Alexander W. Rath, Volkan Esen, and Wolfgang Ecker2014United StatesPapery2014united-statespaper
Automated Configuration of System Level C-Based CPU Testbench in Modern SoCs : A Novel FrameworkRuchi Misra, Chetan Kulkarni, Alok Kumar, Garima Srivastava, Youngsik Kim, Seonil Brian Choi2022EuropePapery2022europepaper
Automated Configuration of System Level C-Based CPU Testbench in Modern SoCs : A Novel FrameworkRuchi Misra, Chetan Kulkarni, Alok Kumar, Garima Srivastava, Youngsik Kim, Seonil Brian Choi2022EuropePresentationy2022europepresentation
Automated Configuration of Verification Environments using Specman Macros DVcon Europe 2018 – Paper number 260-OH22Milos Mirosavljevic, Ron Sela, Dejan Janjic and Efrat Shneydor2018EuropePapery2018europepaper
Automated Configuration of Verification Environments using SpecmanMacrosMilos Mirosavljevic, Ron Sela, Dejan Janjic and Efrat Shneydor2018EuropePresentationy2018europepresentation
Automated Connectivity Test Creation for System-in-Package Analog Mixed-Signal VerificationSamantha Pandez, Christopher Geen2023United StatesPapery2023united-statespaper
Automated Connectivity Test Creation for System-in-Package Analog Mixed-Signal VerificationSamantha Pandez Christopher Geen2023United StatesPostery2023united-statesposter
Automated correct-by-construct methodology for RTL design and analog mixed-signal test bench generation: Enables early design closure of mixed-signal SoCLakshmanan Balasubramanian, Murugesh Prashanth Subramaniam, Atul Ramakant Lele, and Ranjit Kumar Dash2014IndiaPapery2014indiapaper
Automated Creation of Reusable Generators for Analog IC Design with the Intelligent IP MethodUwe Eichler, Benjamin Prautsch, Torsten Reich2022EuropePapery2022europepaper
Automated Creation of Reusable Generators for Analog IC Design with the Intelligent IP MethodUwe Eichler, Benjamin Prautsch, Torsten Reich2022EuropePresentationy2022europepresentation
Automated Floating Trash Collecting BoatKaramalaputti Rahul, Gandham Magaraju2023IndiaPostery2023indiaposter
Automated Generation of RAL-based UVM SequencesSatyajit Sinari, Timothy McLean, Benjamin Applequist, Vijayakrishnan Rousseau, and Geddy Lallathin2020United StatesPresentationy2020united-statespresentation
Automated Generation of RAL-based UVM SequencesVijayakrishnan Rousseau, Satyajit Sinari, Benjamin Applequist, Timothy McLean, and Geddy Lallathin2020United StatesPapery2020united-statespaper
Automated Modeling Testbench Methodology Tested with four Types of PLL ModelsJun Yan, Josh Baylor2023United StatesPresentationy2023united-statespresentation
Automated Modeling Testbench Methodology Tested with four Types of PLL ModelsAutomated Modeling Testbench Methodology Tested with four Types of PLL Models2023United StatesPapery2023united-statespaper
Automated Performance Verification to Maximize your ARMv8 pulling powerNick Heaton and Simon Rance2015United StatesPapery2015united-statespaper
Automated Performance Verification to Maximize your ARMv8 pulling powerNick Heaton and Simon Rance2015United StatesPresentationy2015united-statespresentation
Automated Physical Hierarchy Generation: Tools and MethodologyAli El-Zein, Alvan Ng, Benedikt Geukes, Maya H. Safieddine, and Wolfgang Roesner2018United StatesPapery2018united-statespaper
Automated Physical Hierarchy Generation: Tools and MethodologyAli El-Zein, Alvan Ng, Benedikt Geukes, Maya H. Safieddine, and Wolfgang Roesner2018United StatesPresentationy2018united-statespresentation
Automated RTL Update for Abutted DesignWonkyung Lee, Ayoung Kwon, Soyeong Kwon, Youngsik Kim, and Seonil Brian Choi2020United StatesPapery2020united-statespaper
Automated RTL Update for Abutted DesignWonkyung Lee, Ayoung Kwon, Soyeong Kwon, Youngsik Kim, and Seonil Brian Choi2020United StatesPostery2020united-statesposter
Automated Safety Verification for Automotive MicrocontrollersH. Busch2016United StatesPapery2016united-statespaper
Automated Safety Verification for Automotive MicrocontrollersHolger Busch2016United StatesPresentationy2016united-statespresentation
Automated Seed Selection Algorithm for an Arbitrary Test SuiteDavid Crutchfield, Brian Craw, Jim Sharpe, and Brandon Skaggs2018United StatesPapery2018united-statespaper
Automated Seed Selection Algorithm for an Arbitrary Test SuiteDavid Crutchfield, Brian Craw, Jim Sharpe, and Brandon Skaggs2018United StatesPresentationy2018united-statespresentation
Automated Specification Driven Verification by Generation of SystemVerilog AssertionsFerdinando Pace2016United StatesPapery2016united-statespaper
Automated Specification Driven Verification by Generation of SystemVerilog AssertionsFerdinando Pace2016United StatesPostery2016united-statesposter
Automated SystemC Model Instantiation with modern C++ Features and sc_vectorRalph Görgen and Philipp A. Hartmann2015EuropePapery2015europepaper
Automated SystemC Model Instantiation with modern C++ Features and sc_vectorRalph Görgen, Philipp A. Hartmann, and Wolfgang Nebel2015EuropePresentationy2015europepresentation
Automated Test Generation to Verify IP Modified for System Level Power ManagementChristophe Lamard2015United StatesPapery2015united-statespaper
Automated Test Generation to Verify IP Modified for System Level Power ManagementChristophe Lamard and Frederic Dupuis2015United StatesPresentationy2015united-statespresentation
Automated Thread Evaluation of Various RISC-V Alternatives using Random Instruction GenerationEndri Kaja, Nicolas Gerlin, Dominik Stoffel, Wolfgang Kunz, Wolfgang Ecker2023United StatesPresentationy2023united-statespresentation
Automated Thread Evaluation of Various RISC-V Alternatives using Random Instruction GeneratorsEndri Kaja, Nicolas Gerlin, Dominik Stoffel, Wolfgang Kunz, Wolfgang Ecker2023United StatesPapery2023united-statespaper
Automated Toggle Coverage Framework for AURIX TM TC4xx Virtual PrototypeS N Ranjan and Puttaiah Jagadish2021IndiaPresentationy2021indiapresentation
Automated Toggle Coverage Framework for AURIX TM TC4xx Virtual PrototypeS N Ranjan and Puttaiah Jagadish2021IndiaPostery2021indiaposter
Automated Toggle Coverage Framework for AURIX TM TC4xx Virtual PrototypeS N Ranjan and Puttaiah Jagadish2021IndiaPresentationy2021indiapresentation
Automated Toggle Coverage Framework for AURIX TM TC4xx Virtual PrototypeS N Ranjan and Puttaiah Jagadish2021IndiaPostery2021indiaposter
Automated traceability of requirements in the design and verification process of safety-critical mixed-signal systemsGabriel Pachiana, Maximilian Grunwald, Thomas Markwirth, Christoph Sohrmann2021United StatesPapery2021united-statespaper
Automated Traffic Simulation Framework for SoC Performance AnalysisDiviya Jain, Tarun Kathuria 2017IndiaPresentationy2017indiapresentation
Automated vManager regression using JenkinsSneha Gokarakonda2022IndiaPostery2022indiaposter
Automated, Systematic CDC Verification Methodology Based on SDC SetupAshish Hari, Sulabh Kumar Khare2014IndiaPapery2014indiapaper
Automatic Debug Down to the LineDaniel Hansson and Patrik Granath2017United StatesPostery2017united-statesposter
Automatic Debug Down to the Line of CodeDaniel Hansson and Patrik Granath2017United StatesPapery2017united-statespaper
Automatic Diagram Creation for Design and TestbenchesPaul O’Keeffe, Jamie Beattie and Gian Lorenzo2020EuropePapery2020europepaper
Automatic Diagram Creation for Design and TestbenchesPaul O’Keeffe, Jamie Beattie, and Gian Lorenzo2020EuropePoster, Presentationy2020europeposter presentation
Automatic Exploration of Hardware/Software PartitioningSyed Abbas Ali Shah, Sven Alexander Horsinka, Basitan Farkas, Rolf Meyer, and Malden Berekovic2017United StatesPresentationy2017united-statespresentation
Automatic Exploration of Hardware/Software PartitioningSyed Abbas Ali Shah, Sven Alexander Horsinka, Basitan Farkas, Rolf Meyer, and Malden Berekovic2017United StatesPapery2017united-statespaper
Automatic Firmware Design for Application-specific Electronic Systems: Opportunities, Challenges and SolutionsDaniel Große, Joscha Benz, Vladimir Herdt, and Martin Dittrich2017EuropePresentationy2017europepresentation
Automatic Firmware Verification for Automotive ApplicationsTorsten Andre and Daniel Valtiner2017EuropePresentationy2017europepresentation
Automatic Firmware Verification for Automotive ApplicationsTorsten Andre and Daniel Valtiner2017EuropePapery2017europepaper
Automatic Generation of Formal Properties for Logic Related to Clock GatingShuqing Zhao and Shan Yan2015United StatesPresentationy2015united-statespresentation
Automatic Generation of Formal Properties for Logic Related to Clock GatingShuqing Zhao and Shan Yan2015United StatesPapery2015united-statespaper
Automatic Generation of Implementation Layer for Embedded System using PSS and SystemRDLNikita Gulliya, Sudhir Bisht2023JapanPresentationy2023japanpresentation
Automatic Generation of Implementation Layer for Embedded System using PSS and SystemRDLSudhir Bisht, Nikita Gulliya2023IndiaPostery2023indiaposter
Automatic Generation of Implementation Layer for Embedded System using PSS and SystemRDLSudhir Bisht2023IndiaPresentationy2023indiapresentation
Automatic Generation of Infineon Microcontroller Product ConfigurationsPrateek Chandra, Leily Zafari, Boyko Traykov2019IndiaPresentationy2019indiapresentation
Automatic Generation of Infineon Microcontroller Product ConfigurationsPrateek Chandra, Leily Zafari, Boyko Traykov2019IndiaPapery2019indiapaper
Automatic Investigation of Power InefficienciesKuo Kai Hsieh, Li C. Wang, Wen Chen, Monica Farkash, and Jayanta Bhadra2017United StatesPresentationy2017united-statespresentation
Automatic Investigation of Power InefficiencyKuo-Kai Hsieh, Wen Chen, Monica Farkash, Jayanta Bhadra, and Li-C. Wang2017United StatesPapery2017united-statespaper
Automatic Netlist Modifications required by Functional SafetyHarald Lüpken, Dirk Hönicke, and Michael Rohleder2014EuropePapery2014europepaper
Automatic Netlist Modifications required by Functional SafetyHarald Lüpken, Dirk Hönicke, and Michael Rohleder2014EuropePostery2014europeposter
Automatic Partitioning for Multi-core HDL SimulationGaurav Kumar, Sandeep Pagey, Mohit Sinha, and Manu Chopra2015United StatesPapery2015united-statespaper
Automatic Partitioning for Multi-core HDL SimulationGaurav Kumar, Sandeep Pagey, Mohit Sinha, and Manu Chopra2015United StatesPostery2015united-statesposter
Automatic SOC Test Bench CreationDavid Crutchfield, Mark Glasser, and Stephen Roe2015United StatesPapery2015united-statespaper
Automatic SOC Test Bench CreationDavid Crutchfield, Mark Glasser, and Stephen Roe2015United StatesPresentationy2015united-statespresentation
Automatic Testbench Build to Reduce Cycle Time and Forster ReuseJoachim Geishauser and Alexander Schilling2017EuropePapery2017europepaper
Automatic Testbench Build to Reduce Cycle Time and Foster ReuseJoachim Geishauser and Alexander Schilling2017EuropePresentationy2017europepresentation
Automatic Translation of Natural Language to SystemVerilog AssertionsAbhishek Chauhan2022United StatesPresentationy2022united-statespresentation
Automatic Translation of Natural Language to SystemVerilog AssertionsAbhishek Chauhan2022United StatesPapery2022united-statespaper
Automatic verification for Assertion Based Verification: How can a SPIRIT IP-XACT extension help?Sofiene Mejri and Mirella Negro Marcigaglia2010United StatesPapery2010united-statespaper
Automatically Synthesizing Higher Level of Protocol Abstraction for Faster Debug and Deeper Insight Into Modern Digital DesignsAlasdair Ferro, Amar Patel, Chris Jones, Yogesh Badaya2019IndiaPapery2019indiapaper
Automating information retrieval from EDA software reports using effective parsing algorithmsManish Bhati2022IndiaPostery2022indiaposter
Automating sequence creation from a Microarchitecture specificationSubramoni Parameswaran and Ravi Ram2016United StatesPapery2016united-statespaper
Automating sequence creation from a microarchitecture specificationSubramoni Parameswaran and Ravi Ram2016United StatesPostery2016united-statesposter
Automating the formal verification sign-off flow of configurable digital IP’sGiovanni Auditore and Giuseppe Falconeri2019United StatesPapery2019united-statespaper
Automating the formal verification sign-off flow of configurable digital IP’sGiovanni Auditore and Giuseppe Falconeri2019United StatesPresentationy2019united-statespresentation
Automation for Early Detection of X-propagation in Power-Aware Simulation Verification using UPF IEEE 1801Tony Gladvin George, Ramesh Kumar, Kyuho Shim, Karan K, Wooseong Cheong, ByungChul Yoo2023United StatesPresentationy2023united-statespresentation
Automation for Early Detection of Xpropagation in Power-Aware Simulation Verification using UPF IEEE 1801Tony Gladvin George, Ramesh Kumar, Kyuho Shim, Karan K, Wooseong Cheong, ByungChul Yoo2023United StatesPapery2023united-statespaper
Automation Methodology for Bus Performance Verification using IP-XACTTaeyoung Jeon, Gunseo Koo, Youngsik Kim, Seonil Brian Cho2023United StatesPostery2023united-statesposter
Automation Methodology for Bus Performance Verification using IP-XACTTaeyoung Jeon, Gunseo Koo, Youngsik Kim, Seonil Brian Choi2023United StatesPapery2023united-statespaper
Automation of Power On Reset AssertionShang-Wei Tu, Penny Yang, Joydeep Gangopadhyay, and Amol Herlekar2015United StatesPapery2015united-statespaper
Automation of Reusable Protocol-Agnostic Performance Analysis in UVM EnvironmentsDaniel Carrington, Alan Pippin, and Timothy Pertuit2019United StatesPresentationy2019united-statespresentation
Automation of Reusable Protocol-Agnostic Performance Analysis in UVM EnvironmentsD. P. Carrington, A. J. Pippin, and T. Pertuit2019United StatesPapery2019united-statespaper
Automation of Waiver and Design Collateral generation for scalable IPsGopalakrishnan Sridhar, Vadlamuri Venkata Sateeshm, Midhun Krishna2019IndiaPapery2019indiapaper
Automation of Waiver and Design Collateral Generation on Scalable IPsGopalakrishnan Sridhar, Midhun Krishna, Vadlamuri, Venkata Sateesh2019IndiaPresentationy2019indiapresentation
Automotive RADAR Bitfields Verification to support Validation of Silicon bring-upAmol Dhok, Paulraj M K2023IndiaPresentationy2023indiapresentation
Automotive RADAR Bitfields Verification to support Validation of Silicon bring-upAmol Dhok, Paulraj M K2023IndiaPapery2023indiapaper
Autonomous Verification: Are We There Yet?Ajay Singh2023TaiwanPresentationy2023taiwanpresentation
Avoiding Configuration Madness The Easy WayRich Edelman2023United StatesPresentationy2023united-statespresentation
Avoiding Configuration Madness The Easy WayRich Edelman2023United StatesPapery2023united-statespaper
Avoiding Confounding Configurations an RDC Methodology for Configurable DesignsEamonn Quigley, Jonathan Niven, and Kurt Takara2022United StatesPostery2022united-statesposter
Avoiding Confounding Configurations An RDC Methodology for Configurable DesignsEamonn Quigley, Jonathan Niven, and Kurt Takara2022United StatesPapery2022united-statespaper
Back to Basics: Doing Formal “The Right Way”Joseph Hupcey III, Saumitra Goel 2017IndiaPresentationy2017indiapresentation
BatchSolve: A Divide and Conquer Approach to Solving the Memory Ordering ProblemDebarshi Chatterjee, Ismet Bayraktaroglu, Nikhil Sathe, Kavya Shagrithaya, Siddhanth Dhodhi, Spandan Kachhadiya2022United StatesPresentationy2022united-statespresentation
BatchSolve: A Divide and Conquer Approach to Solving the Memory Ordering ProblemDebarshi Chatterjee, Ismet Bayraktaroglu, Nikhil Sathe, Kavya Shagrithaya, and Siddhanth Dhodhi2022United StatesPapery2022united-statespaper
Be a Sequence Pro to Avoid Bad Con SequencesMark Litterick2019EuropePresentationy2019europepresentation
Be a Sequence Pro to Avoid Bad Con SequencesJeff Vance, Jeff Montesano, Mark Litterick, and Jason Sprott2019United StatesPresentationy2019united-statespresentation
Benefits of PSS coverage at SOC & its limitationsSundararajan Haran and Saleem Khan2019IndiaPresentationy2019indiapresentation
Benefits of PSS Coverage at SOC and Its LimitationsSundararajan Haran, Saleem Khan2019IndiaPapery2019indiapaper
Best Practices in Verification PlanningBenjamin Ehlers, Carmen Vargas, and Paul Carzola2013United StatesPapery2013united-statespaper
Best Practices in Verification PlanningBenjamin Ehlers and Paul Carzola2013United StatesPresentationy2013united-statespresentation
Better Living Through Better Class-Based SystemVerilog DebugRich Edelman, Raghu Ardeishar, and John Amouroux2012United StatesPapery2012united-statespaper
Better Living Through Better Class-Based SystemVerilog DebugRich Edelman, Raghu Ardeishar, and John Amouroux2012United StatesPresentationy2012united-statespresentation
Between the Dialog and the Algorithm or Innovative Technological Narratives Leveraging the Idea of Authenticity in a Human Being Visualizing log files enables intuitive comprehension of complex test scenariosAnna M. Ravitzki and Uri Feigin2017EuropePapery2017europepaper
Beyond UVM: Creating Truly Reusable Protocol LayeringJanick Bergeron, Fabian Delguste, Steve Knoeck, Steve McMaster, Aron Pratt, and Amit Sharma2013United StatesPapery2013united-statespaper
Beyond UVM: Creating Truly Reusable Protocol LayeringJanick Bergeron2013United StatesPresentationy2013united-statespresentation
Bi-Directional UVM Agents and Complex Stimulus Generation for UDN and UPF PinsChuck McClish2021United StatesPapery2021united-statespaper
Big Data in Verification: Making Your Engineers SmarterDavid Lacey, Mike McGrath, Alan Pippin, Ed Powell, Ron Thurgood, and Alex Wilson2019United StatesPapery2019united-statespaper
Big Data in Verification: Making Your Engineers SmarterDavid Lacey, Michael McGrath, Alan Pippin, Ed Powell, Ron Thurgood, and Alex Wilson2019United StatesPresentationy2019united-statespresentation
Bit density based pre characterization of RAM cells for area critical SOC designDilip Kumar Ajay ([email protected])2020EuropePresentationy2020europepresentation
Bit Density-Based Pre-Characterization of RAM Cells for Area Critical SOC DesignDilip Kumar Ajay2020EuropePapery2020europepaper
Blending multiple metrics from multiple verification engines for improved productivityDarron May and Darren Galpin2012United StatesPapery2012united-statespaper
Boost Verification Results by Bridging the Hardware/Software Testbench GapMatthew Ballance2013United StatesPapery2013united-statespaper
Boost Verification Results by Bridging the Hw/Sw Testbench GapMatthew Ballance2013United StatesPresentationy2013united-statespresentation
Boost your productivity in FPGA & ASIC design and verificationBart Brosens2022EuropePapery2022europepaper
Boost your productivity in FPGA & ASIC design and verificationBart Brosens2022EuropePresentationy2022europepresentation
Boosting Debug Productivity Practical Applications of Debug Innovations in a UVM WorldJoerg Richter2017EuropePresentationy2017europepresentation
Boosting Mixed-Signal Design Productivity with FPGA-Based Methods Throughout the Chip Design ProcessGabriel Rutsch, Simone Fontanesi, Steven G. Herbst, Steven Tan Hee Yeng, Andrea Possemato, Gaetano Formato, Mark Horowitz, and Wolfgang Ecker2020EuropePapery2020europepaper
BOOSTING SIMULATION PERFORMANCE OF UVM REGISTERS IN HIGH PERFORMANCE SYSTEMSAhmed Yehia2013United StatesPapery2013united-statespaper
BOOSTING SIMULATION PERFORMANCE OF UVM REGISTERS IN HIGH PERFORMANCE SYSTEMSAhmed Yehia2013United StatesPostery2013united-statesposter
Boosting SystemC-based Testbenches with Modern C++ and Coverage-Driven GenerationHoang M. Le and Rolf Drechsler2015EuropePapery2015europepaper
Boosting SystemC-based Testbenches with Modern C++ and Coverage-Driven GenerationHoang M. Le and Rolf Drechsler2015EuropePresentationy2015europepresentation
Break the SoC with Random UVM Instruction DriverBogdan Todea, Pravin Wilfred, Madhukar Mahadevappa, Diana Dranga2019IndiaPapery2019indiapaper
Breaking Down Barriers: Seamless Protocol Conversion with UVM Component LayeringSantosh Mahale, Shantanu Lele2023IndiaPresentationy2023indiapresentation
Breaking Down Barriers: Seamless Protocol Conversion with UVM Component LayeringSantosh Mahale, Shantanu Lele2023IndiaPapery2023indiapaper
Bridge the Portable Test and Stimulus to UVM Simulation EnvironmentTheta Yang and Evean Qin2018United StatesPapery2018united-statespaper
Bridge the Portable Test and Stimulus to UVM Simulation EnvironmentTheta Yang and Evean Qin2018United StatesPresentationy2018united-statespresentation
Bridging the gap between TLM-2.0 AT models and RTL – Experiments and OpportunitiesZhu Zhou, Atul Kwatra, Rajesh Gadiyar, and Paul Heraty2010United StatesPapery2010united-statespaper
Bring IP Verification Closer to SoC Scalable Methods to Bridge the Gap Between IP and SoC VerificationGaurav Gupta, Tejbal Prasad, Rohit Goyal, Sachin Jain, Vipin Verma2014IndiaPresentationy2014indiapresentation
Bring IP Verification Closer to SoC Scalable Methods to Bridge the Gap Between IP and SoC VerificationGaurav Gupta, Tejbal Prasad, Rohit Goyal, Sachin Jain, Vipin Verma2014IndiaPapery2014indiapaper
Bringing Constrained Random into SoC SW-driven VerificationAlberto Allara and Fabio Brognara2013United StatesPapery2013united-statespaper
Bringing Constrained Random into SoC SW-driven VerificationAlberto Allara and Fabio Brognara2013United StatesPresentationy2013united-statespresentation
BRINGING CONTINUOUS DOMAIN INTO SYSTEMVERILOG COVERGROUPSPrabal K Bhattacharya, Swapnajit Chakraborti, Donald O’Riordan, Vaibhav Bhutani, and Scott Little2012United StatesPresentationy2012united-statespresentation
BRINGING CONTINUOUS DOMAIN INTO SYSTEMVERILOG COVERGROUPSPrabal K Bhattacharya, Swapnajit Chakraborti, Scott Little, Donald O’Riordan, and Vaibhav Bhutani2012United StatesPapery2012united-statespaper
Bringing DataPath Formal to Designers’ FootstepsM, Achutha KiranKumar V, Disha Puri, Shriya Dharade2019IndiaPapery2019indiapaper
Bringing Regression Systems into the 21st CenturyDavid Crutchfield2014United StatesPostery2014united-statesposter
Bringing Regression Systems into the 21st CenturyDavid Crutchfield and Thom Ellis2014United StatesPapery2014united-statespaper
Bringing Reset Domains and Power Domains together – Confronting issues due to UPF InstrumentationInayat Ali, Abdul Moyeen, Manish Bhati, Manjunatha Srinivas2021United StatesPapery2021united-statespaper
Bringing Reset Domains and Power Domains together – Non resettable registers amplifying issues in Power-Aware RDC Verification due to UPF InstrumentationManish Bhati, Inayat Ali2022IndiaPresentationy2022indiapresentation
Bringing Reset Domains and Power Domains Together – Set/Reset Flops Augmenting Complexities in Power-Aware RDC Verification.Manish Bhati, Manjunatha Srinivas, Abdul Moyeen, Inayat Ali2021EuropePapery2021europepaper
Bringing UVM to VHDLUVVM2022United StatesPresentationy2022united-statespresentation
Build Reliable and Efficient Reset Networks with a Comprehensive Reset Domain Crossing Verification SolutionWanggen Shi, Yuxin You, and Kurt Takara2020EuropePresentationy2020europepresentation
Build Reliable and Efficient Reset Networks with a Comprehensive Reset Domain Crossing Verification SolutionWanggen Shi, Yuxin You, and Kurt Takara2020EuropePapery2020europepaper
Building a coherent ESL design and verification eco-system with SystemC, TLM, UVM-SystemC, and CCIMartin Barnasconi2016EuropePapery2016europepaper
Building a Comprehensive Hardware Security MethodologyAnders Nordstrom and Jagadish Nayak2022United StatesPresentationy2022united-statespresentation
Building a Virtual Driver for EmulatorChen Chih-Chiang2023TaiwanPapery2023taiwanpaper
Building And Modelling Reset Aware Testbench For IP Functional VerificationNaishal Shah2019IndiaPapery2019indiapaper
Building Code Generators for Reuse – Demonstrated by a SystemC GeneratorSaad Siddiqui and Ulrich Nageldinger2017EuropePapery2017europepaper
Building Code Generators for Reuse – Demonstrated by a SystemC GeneratorUlrich Nageldinger and Saad Siddiqui2017EuropePresentationy2017europepresentation
Building Confidence in System level CPU Cache Coherency Verification for Complex SoC’s through a Configurable, Flexible and Portable TestbenchRuchi Misra, Shrinidhi Rao, Alok Kumar, Garima Srivastava, Youngsik Kim, Seonil Brian Choi2022EuropePapery2022europepaper
Building Confidence in System Level CPU Cache Coherency Verification for Complex SoCs through a Configurable Flexible and Portable Test BenchS Shrinidhi Rao, Ruchi Misra, Alok Kumar, Garima Srivastava, YoungSik Kim, Seonil Brian Choi2022EuropePresentationy2022europepresentation
Building Configurable UVM Testbench for Configurable Design IP (Configurable TB)Kilaru Vamsikrishna, Sushrut B Veerapur2022IndiaPresentationy2022indiapresentation
Building Portable Stimulus Into your IP-XACT FlowPetri Karppa, Lauri Matilainen, and Matthew Ballance2018United StatesPresentationy2018united-statespresentation
Building Portable Stimulus Into Your IP-XACT FlowPetri Karppa, Lauri Matilainen, and Matthew Balance2018United StatesPapery2018united-statespaper
Building Smart SoCs Using Virtual Prototyping for the Design and SoC Integration of Deep Learning AcceleratorsHolger Keding2019EuropePresentationy2019europepresentation
Building UVM Testbenches for High Quality Serial Design IPs Modelling real world scenariosKilaru Vamsikrishna, Sushrut B Veerapur2022IndiaPostery2022indiaposter
C through UVM: Effectively using C based models with UVM based Verification IPChris Spear, Kevork Dikramanjian, Abhisek Verma, and Senay Haile2013United StatesPapery2013united-statespaper
C through UVM: Effectively using C based models with UVM based Verification IPAdiel Khan, Chris Spear, Kevork Dikramanjian, Abhisek Verma, and Senay Haile2013United StatesPresentationy2013united-statespresentation
Caching Tool Run Results in Large Scale RTL Development ProjectsAshfaq Khan2022United StatesPresentationy2022united-statespresentation
Caching Tool Run Results in Large-Scale RTL Development ProjectsAshfaq Khan2022United StatesPapery2022united-statespaper
Cadence vManager Platform and Virtuoso ADE Verifier Leading-edge technologies provide methodology for mixedsignal verification closureKawe Fotouhi and Walter Hartong2019EuropePresentationy2019europepresentation
Calling All Checkers: Collaboratively Utilizing SVA In UVM Based SimulationHui K. Zhang2016United StatesPapery2016united-statespaper
Calling All Checkers: Collaboratively Utilizing SVA in UVM Based SimulationHui C. K. Zhang2016United StatesPostery2016united-statesposter
CAMEL – A Flexible Cache Model for Cache VerificationYue Liu, Fang Liu, Yunyang Song2022United StatesPresentationy2022united-statespresentation
CAMEL: A Flexible Cache Model for Cache VerificationYue Liu, Fang Liu, and Yunyang Song2022United StatesPapery2022united-statespaper
Can Formal Outsmart Synthesis: Improving Synthesis Quality of Results through Formal MethodsEldon Nelson2021United StatesPapery2021united-statespaper
Can My Synthesis Compiler Do That?Stuart Sutherland and Don Mills2014United StatesPapery2014united-statespaper
Can My Synthesis Compiler Do That?Stuart Sutherland and Don Mills2014United StatesPresentationy2014united-statespresentation
Can You Even Debug a 200M+ Gate Design?Horace Chan, Brian Vandegriend, Deepali Joshi, and Corey Goss2013United StatesPapery2013united-statespaper
Can You Even Debug a 200M+ Gate Design?Horace Chan, Brian Vandegriend, Deepali Joshi, and Corey Goss2013United StatesPostery2013united-statesposter
Case Study of Verification Planning to Coverage Closure @Block, Subsystem and System on Chip LevelPaul Kaunds, Revati Bothe, and Jesvin Johnson2018EuropePresentationy2018europepresentation
Case Study: Low-Power Verification Success Depends on Positive PessimismJohn Decker2011United StatesPapery2011united-statespaper
Case Study: Power-aware IP and Mixed-Signal VeriLuke Lang2011United StatesPapery2011united-statespaper
Case Study: Successes and Challenges of Validation Content ReuseMike Chin, Jonathan Edwards, Josh Pfrimmer, and Hooi Jing Tan2022United StatesPapery2022united-statespaper
Case-study: Generating A Workload Model of the Chrome Browser from Android Execution Traces For Early Analysis of Power and Performance Trade-offsVikrant Kapila, Tim Kogel, Holger Keding, Amit Tara Amit Dudeja, Nishant Gautam2017IndiaPresentationy2017indiapresentation
Catching the low hanging fruits on intel® Graphics DesignsM, Achutha KiranKumar V, Aarti Gupta, Bindumadhava S S, Savitha Manojna, and Abhijith A Bharadwaj2016EuropePapery2016europepaper
Centralized Regression Optimisation Toolkit (CROT) for expediting Regression Closure With Simulator Performance OptimisationHarshal Kothari, Pavan M, Ajay Vamshi Krishna, Eldin Ben Jacob, Sriram Kazhiyur Sounderrajan, Somasunder Kattepura Sreenath2021EuropePapery2021europepaper
Challenges and Mitigations of Porting a UVM Testbench from Simulation to Transaction-Based Acceleration (Co-Emulation)Vikas Billa and Sundar Haran2018United StatesPapery2018united-statespaper
Challenges and Mitigations of Porting a UVM Testbench from Simulation to Transaction-Based Acceleration (Co-Emulation)Vikas Billa and Sundar Haran2018United StatesPresentationy2018united-statespresentation
Challenges and Solutions for Creating Virtual Platforms of FPGA and SASIC DesignsKalen Brunham, Jakob Engblom2022EuropePresentationy2022europepresentation
Challenges and Solutions for Creating Virtual Platforms of FPGA and SASIC DesignsKalen Brunham, Jakob Engblom2022EuropePapery2022europepaper
Challenges in Mixed Signal VerificationAmlan Chakrabarti, Sachin-Sudhakar Kulkarni2015IndiaPresentationy2015indiapresentation
Challenges in UVM + Python Random Verification Environment for Digital Signal Processing Datapath DesignShabbar Vejlani and Ashok Chandran2016United StatesPresentationy2016united-statespresentation
Challenges in UVM + Python random verification environment for Digital Signal Processing datapath design.Shabbar Vejlani and Ashok Chandran2016United StatesPapery2016united-statespaper
Challenges of Formal Verification on Deep Learning Hardware AcceleratorYellinidi Dasarathanaidu2019IndiaPresentationy2019indiapresentation
Challenges of Formal Verification on Deep Learning Hardware AcceleratorYellinidi Dasarathanaidu2019IndiaPapery2019indiapaper
Challenges of VHDL X-propagation SimulationsKarthik Baddam and Piyush Sukhija2015EuropePapery2015europepaper
Challenges of VHDL X-propagation SimulationsKarthik Baddam and Piyush Sukhija2015EuropePresentationy2015europepresentation
Challenges with Power Aware Simulation and Verification MethodologiesDivyeshkumar Vora2015IndiaPresentationy2015indiapresentation
Challenges, Complexities and Advanced Verification Techniques in Stress Testing of Elastic Buffer in High Speed SERDES IPsKamesh Velmail, Suvadeep Bose, Ankit Garg, Parag S Lonkar, Somasunder K Sreenath2019IndiaPresentationy2019indiapresentation
Challenges, Complexities and Advanced Verification Techniques in Stress Testing of Elastic Buffer in High Speed SERDES IPsKamesh Velmail, Suvadeep Bose, Parag Lonkar, Ankit Garg2019IndiaPapery2019indiapaper
Channel Modelling in Complex Serial IPsJayesh Ranjan Majhi, Saravana Balakrishnan, Navnit Kumar Kashyap2022IndiaPostery2022indiaposter
Channel Modelling in Complex Serial IPsJayesh Ranjan Majhi, Saravana Balakrishnan, Navnit Kumar Kashyap2022IndiaPapery2022indiapaper
Characterizing RF Wireless Receivers Performance in UVM EnvironmentSalwa Elqassas, Salwa Elqassas and Mohammed T. Abdel-Hafe2018EuropePapery2018europepaper
Check Low-Power Violations by Using Machine Learning Based ClassifierChi-Ming Lee, Chung-An Wang, Cheok-Yan Goh, Chia-Cheng Tsai, Chien-Hsin Yeh, Chia-Shun Yeh, Chin-Tang Lai2023United StatesPostery2023united-statesposter
Check Low-Power Violations by Using Machine Learning Based ClassifierChi-Ming Lee, Chung-An Wang, Cheok-Yan Goh, Chia-Cheng Tsai, Chien-Hsin Yeh, Chia-Shun Yeh, Chin-Tang Lai2023United StatesPapery2023united-statespaper
Checking security path with formal verification tool: new application developmentJulia Dushina, Saumil Shah, Joerg Mueller, and Vincent Reynolds2014United StatesPapery2014united-statespaper
Checking Security Path with Formal Verification Tool: New Application DevelopmentJulia Dushina and Joerg Mueller2014United StatesPostery2014united-statesposter
Chef’s Special – an Efficient Verification Recipe for Maximizing Productivity While Using a Third Party Verification IPVarun S and Bhavik Vyas2012United StatesPapery2012united-statespaper
Chiplevel Analog Regressions in ProductionYi Wang2021EuropePapery2021europepaper
Choice is Yours: Either Struggle to Tame ‘X’ in the Wilderness of Multimillion Gates and Nets, OR Take it for a Walk in the RTL ParkNitin Jaiswal, Harsh Garg, Mayank Bindal2014IndiaPresentationy2014indiapresentation
Cleaning Out Your Pipes – Pipeline Debug in UVM TestbenchesRich Edelman and Neil Bulman2018United StatesPapery2018united-statespaper
Cleaning Out Your Pipes – Pipeline Debug in UVM TestbenchesRich Edelman and Neil Bulman2018United StatesPostery2018united-statesposter
Clock Controller Unit Design Metrics: Area, Power, Software Flexibility and Congestion Impacts at System LevelMichele Chilla and Leonardo Gobbi2020EuropePapery2020europepaper
CLOCK DOMAIN CROSSING CHALLENGES IN LATCH BASED DESIGNSMadan Das, PhD, Chris Kwok, and Kurt Takara2018United StatesPapery2018united-statespaper
Clock Domain Crossing Challenges in Latch Based DesignsMadan Das, Chris Kwok, and Kurt Takara2018United StatesPresentationy2018united-statespresentation
Clock Domain Crossing Verification in Transistor-level DesignHyungjung Seo, KwangSun Kim, YoungRok Choi, Jihwan Kim, and Jong-Bae Lee2019United StatesPostery2019united-statesposter
Closing and AwardsAccellera Systems Initiative2022EuropeVideoy2022europevideo
Closing Functional and Structural Coverage on RTL Generated by High-Level SynthesisBryan Bowyer2015United StatesPostery2015united-statesposter
Closing Functional and Structural Coverage on RTL Generated by High-Level SynthesisB. Bowyer2015United StatesPapery2015united-statespaper
Closing Functional Coverage With Deep Reinforcement Learning: A Compression Encoder ExampleEric Ohana2023United StatesPapery2023united-statespaper
Closing Functional Coverage With Deep Reinforcement Learning A Compression Encoder ExampleEric Ohana2023United StatesPresentationy2023united-statespresentation
Closing the gap between requirement management and system design by requirement tracingHayri Verner Hasou, Guillermo Conde, Adrian Rolufs, Dominic Scharfe2022EuropePapery2022europepaper
Closing the loop from requirements management to verification execution for automotive applicationsWalter Tibboel and Jan Vink2015EuropePapery2015europepaper
Closing the loop from requirements management to verification execution for automotive applicationsWalter Tibboel and Jan Vink2015EuropePresentationy2015europepresentation
Closing with AwardsAccellera Systems Initiative2022EuropeVideoy2022europevideo
Clustering and Classification of UVM Test Failures Using Machine Learning TechniquesAndy Truong, Daniel Hellström, Harry Duque, and Lars Viklund2018EuropePapery2018europepaper
Clustering and Classification of UVM Test Failures Using Machine Learning TechniquesAndy Troung, Daniel Hellström, Harry Duque, and Lars Viklund2018EuropePresentationy2018europepresentation
Co-Developing Firmware and IP with PSSM. Ballance2022United StatesPapery2022united-statespaper
Co-Developing IP and SoC Bring-Up Firmware with PSSMatthew Ballance, Siemens EDA2022United StatesPresentationy2022united-statespresentation
Co-Simulating Matlab/Simulink Models in a UVM EnvironmentNeal Okumura and Glenn Richards2015United StatesPapery2015united-statespaper
Co-Simulating Matlab/Simulink Models in a UVM EnvironmentNeal Okumura, Paul Yue, and Glenn Richards2015United StatesPresentationy2015united-statespresentation
Code-Test-Verify all for free – Assertions + VerilatorHemamalini Sundaram, Kasthuri Srinivas, Supriya Ummadisetty2023IndiaPresentationy2023indiapresentation
Code-Test-Verify all for free – Assertions + VerilatorHemamalini Sundaram, Kasthuri Srinivas, Supriya Ummadisetty2023IndiaPapery2023indiapaper
Coding Guidelines and Code GenerationJohn Aynsley and Dr. Christoph Sühnel2014United StatesPostery2014united-statesposter
Coherency Verification & Deadlock Detection Using Perspec/Portable StimulusMoonki Jang and Phu Huynh2019United StatesPresentationy2019united-statespresentation
Coherency Verification & Deadlock Detection Using Perspec/Portable StimulusMoonki Jang, Jiwoong Kim, Hyerim Chung, Phu L. Huynh, and Shai Fuss2019United StatesPapery2019united-statespaper
Combining Simulation with Formal Techniques to Reduce the Overall Verification CycleAneet Agarwal and Gaurav Gupta2010United StatesPapery2010united-statespaper
Combining Static and Dynamic Low Power Verification for the Power-Aware SoC Sign-offHimanshu Bhatt and Prashanth M2014EuropePapery2014europepaper
Combining Static and Dynamic Low Power Verification for the Power-Aware SoC Sign-offHimanshu Bhatt, Prashanth M, and Adiel Khan2014EuropePresentationy2014europepresentation
Command Line Debug Using UVM SequencesMark Peryer2011United StatesPapery2011united-statespaper
Common Challenges and Solutions to Integrating a UVM TestbenchFrank Verhoorn and Mike Baird2018United StatesPresentationy2018united-statespresentation
Common Challenges and Solutions to Integrating a UVM Testbench in Place of a Legacy Monolithic Testing EnvironmentFrank Verhoorn and Michael Baird2018United StatesPapery2018united-statespaper
Compact AI accelerator for embedded applicationsAlexey Shchekin2022JapanPresentationy2022japanpresentation
Comparison of TLM2-Quantum Keeping and TLM+-Resource Modeling with regard to Timing in Virtual PrototypesWolfgang Ecker, Volkan Esen, Rainer Findenigy, Thomas Leitnerz and Michael Velten2011United StatesPapery2011united-statespaper
Complementing EDA with Meta-Modeling and Code GenerationWolfgang Ecker, Michael Velten, Leily Zafari, and Ajay Goyal2014United StatesPapery2014united-statespaper
Complementing EDA with Meta-Modelling & Code GenerationWolfgang Ecker, Michael Velten, Leily Zafari, and Ajay Goyal2014United StatesPostery2014united-statesposter
Complementing EDA with Meta-Modelling and Code GenerationEcker Wolfgang, Michael Velten, Ajay Goyal, and Leily Zafari2014United StatesPresentationy2014united-statespresentation
Complementing Verification of Highly Configurable Design with Formal TechniquesManik Tyagi, Deepak Jindal2015IndiaPostery2015indiaposter
Complete Formal Verification of a Family of Automotive DSPsRafal Baranowski and Marco Trunzer2016EuropePresentationy2016europepresentation
Complete Formal Verification of a Family of Automotive DSPsRafal Baranowski and Marco Trunzer2016EuropePapery2016europepaper
Complex Low Power Verification Challenges in NextGen SoCs : Taming the Beast!Abhinav Nawal, Gaurav Jain, and Joachim Geishauser2014EuropePresentationy2014europepresentation
Complex Low Power Verification Challenges in NextGen SoCs: Taming the Beast!Abhinav Nawal and Gaurav Jain2014EuropePapery2014europepaper
Complex Safety Mechanisms Require Interoperability and Automation For Validation And Metric ClosureDaeseo Cha, Vedant Garg2023United StatesPresentationy2023united-statespresentation
Complex Safety Mechanisms Require Interoperability and Automation For Validation And Metric ClosureDaeseo Cha, Vedant Garg, Ann Keffer, Arun Gogineni, James Kim, Woojoo Space Kim, Kunhyuk Kang, Seonil Brian Choi2023United StatesPapery2023united-statespaper
Compliance Driven Integrated Circuit Development Based on ISO26262Haridas Vilakathara, Manikantan panchapakesan2014IndiaPresentationy2014indiapresentation
Compliance Driven Integrated Circuit Development Based on ISO26262Haridas Vilakathara and Manikandan Panchapakesan2014IndiaPapery2014indiapaper
CompMon: Ensuring Rigorous Protocol Specification and IP ComplianceRobert Adler, Sava Krstic and Erik Seligman2011United StatesPapery2011united-statespaper
Comprehensive AMS Verification using Octave, Real Number Modelling and UVMJohn McGrath, Patrick Lynch, and Ali Boumaalif2015EuropePapery2015europepaper
Comprehensive AMS Verification using Octave, Real Number Modelling and UVMJohn McGrath, Patrick Lynch, and Ali Boumaalif2015EuropePresentationy2015europepresentation
Comprehensive and Automated Static Tool Based Strategies for the Detection and Resolution of Reset Domain CrossingsYossi Mirsky B.Sc, M.Sc, MBA2017United StatesPapery2017united-statespaper
Comprehensive and Automated Static Tool Based Strategies for the Detection and Resolution of Reset Domain CrossingsYossi Mirsky2017United StatesPresentationy2017united-statespresentation
Comprehensive Benchmark Results: Comparing Processing-in-Memory Architecture Effectiveness using UPMEM HardwareAmbati Rajashekar, Pranjit Das, Avi kumar Shrivastava, Partha Acharya2023IndiaPostery2023indiaposter
Comprehensive IP to SoC CDC Verification Using Hybrid Data ModelAnwesha Choudhury and Ashish Hari2018United StatesPostery2018united-statesposter
Comprehensive IP to SoC Clock Domain Crossing Verification Using Hybrid Data ModeAnwesha Choudhury and Ashish Hari2018United StatesPapery2018united-statespaper
Comprehensive Metrics-Based Methodology to Achieve Low-Power System-on-ChipsEllie Burns, Gabriel Chidolue, and Guillaume Boillet2018United StatesPresentationy2018united-statespresentation
Comprehensive Register Description Languages: The case for standardization of RDLs across design domainsDavid C Black and Doug Smith2012United StatesPapery2012united-statespaper
Comprehensive Systemverilog-Systemc-Vhdl Mixed-Language Design MethodologyRudra Mukherjee, Gaurav Kumar Verma, and Sachin Kakkar2010United StatesPapery2010united-statespaper
Computational Logistics for Intelligent System DesignSimon Chang2021ChinaPresentationy2021chinapresentation
Compute Link Express – CXL – CXL ConsortiumNarasimha Babu2022IndiaPresentationy2022indiapresentation
Conditional Delays for Negative Limit Timing Checks in Event Driven SimulationNadeem Kalil and David Roberts2015United StatesPapery2015united-statespaper
Conditional Delays for Negative Limit Timing Checks in Event Driven SimulationN. Kalil and D. Roberts2015United StatesPostery2015united-statesposter
Confidently Sign-off Any low-Power Designs without ConsequencesMadhur Bhargava, Jitesh Bansal, and Progyna Khondkar2022United StatesPostery2022united-statesposter
Confidently Sign-Off Any Low-Power Designs Without ConsequencesMadhur Bharga, Jitesh Bansal and Progyna Khondkar2022United StatesPapery2022united-statespaper
Configurable Testbench (TB) for Configurable Design IPKilaru Vamsikrishna, Sushrut B Veerapur2022IndiaPapery2022indiapaper
Configuration Conundrum: Managing Test Configuration with a Bite Sized SolutionKevin Vasconcellos, Jeff McNeal2021United StatesPapery2021united-statespaper
Configuration in UVM:The Missing ManualMark Glasser2014IndiaPresentationy2014indiapresentation
Configuration in UVM: The Missing ManualMark Glasser2014IndiaPapery2014indiapaper
Configuring Your Resources the UVM Way!Parag Goel, Amit Sharma, and Rajiv Hasija2012United StatesPapery2012united-statespaper
Configuring Your Resources the UVM Way!Parag Goel, Amit Sharma, and Rajiv Hasija2012United StatesPresentationy2012united-statespresentation
Connecting a Company’s Verification Methodology to Standard Concepts of UVMFrank Poppen, Marco Trunzer, and Jan‐Hendrik Oetjens2014EuropePapery2014europepaper
Connecting a Company’s Verification Methodology to Standard Concepts of UVMFrank Poppen, Marco Trunzer, and Jan‐Hendrik Oetjens2014EuropePresentationy2014europepresentation
Connecting Enterprise Applications to Metric Driven VerificationMatt Graham2014EuropePapery2014europepaper
Connecting Enterprise Applications to Metric Driven VerificationMatt Graham2014EuropePresentationy2014europepresentation
CONNECTING THE DOTS: APPLICATION OF FORMAL VERIFICATION FOR SOC CONNECTIVITYBin Ju2014United StatesPapery2014united-statespaper
CONNECTING THE DOTS: APPLICATION OF FORMAL VERIFICATION FOR SOC CONNECTIVITYBin Ju2014United StatesPostery2014united-statesposter
CONNECTING UVM WITH MIXED-SIGNAL DESIGNIvica Ignjić2017United StatesPapery2017united-statespaper
Connecting UVM with Mixed-Signal DesignIvica Ignjić2017United StatesPresentationy2017united-statespresentation
Connectivity and BeyondShahid Ikram, Joseph DErrico, Yasmin Farhan, Jim Ellis, and Tushar Parikh2019United StatesPresentationy2019united-statespresentation
Connectivity and BeyondShahid Ikram, Joseph DErrico, Yasmin Farhan, Jim Ellis, and Tushar Parikh2019United StatesPapery2019united-statespaper
Conquer the Graphics Legacy: Develop the Customized UVM VIP Embedded a Cycle-based C++ Reference ModelRoman Wang2019United StatesPostery2019united-statesposter
Conscious of Streams Managing Parallel StimulusJeff Wilcox2012United StatesPresentationy2012united-statespresentation
Conscious of Streams: Managing Parallel StimulusJeffrey Wilcox and Stephen D’Onofrio2012United StatesPapery2012united-statespaper
Consistent SystemC and VHDL Code Generation from State Charts for Virtual Prototyping and RTL SynthesisRainer Findenig, Thomas Leitner, and Wolfgang Ecker2011United StatesPapery2011united-statespaper
CONSTRAINING THE REAL PROBLEM OF FLOATING POINT NUMBER DISTRIBUTIONMartin Fröjd, Adiel Khan, and Jussi Mäkelä2014United StatesPapery2014united-statespaper
CONSTRAINING THE REAL PROBLEM OF FLOATING POINT NUMBER DISTRIBUTIONJussi Mäkelä, Martin Fröjd, and Adiel Khan2014United StatesPresentationy2014united-statespresentation
CONSTRAINING THE REAL PROBLEM OF FLOATING POINT NUMBER DISTRIBUTIONJussi Mäkelä, Martin Fröjd, and Adiel Khan2014United StatesPresentationy2014united-statespresentation
Context-Aware DFM Rule Analysis and Scoring Using Machine LearningVikas Tripathi, Valerio Perez, Yongfu Li, Zhao Chuan Lee, I-Lun Tseng, and Jonathan Ong2018United StatesPapery2018united-statespaper
Context-Aware DFM Rule Analysis and Scoring Using Machine LearningVikas Tripathi, Valerio Perez, Yongfu Li, Zhao Chuan Lee, I-Lun Tseng, and Jonathan Ong2018United StatesPostery2018united-statesposter
Conversion of Performance Model to Functional ModelH G Pavan Kumar, Sumail Singh Brar, Ashwani Aggarwal, Seonil Brian Choi, Woojoo Space Kim2021United StatesPapery2021united-statespaper
Counterintuitive approaches to have better communication between UVM and Python for registers with Single Controlling AlgorithmSougata Bhattacharjee2023IndiaPostery2023indiaposter
Coverage Acceleration and Testcase Pruning using Smart Stimuli Generator in SOC VerificationRahul Laxkar, Naveen Srivastava, Sekhar Dangudubiyyam2023IndiaPresentationy2023indiapresentation
Coverage Acceleration and Testcase Pruning using Smart Stimuli Generator in SOC VerificationRahul Laxkar, Naveen Srivastava, Sekhar Dangudubiyyam2023IndiaPapery2023indiapaper
Coverage Closure – Is it a “Game of Dice” or “Top 10 Tests” or “Automated Closure”?Aditya Sharma, T. Nagasundaram, M. Nitin Kumar, Nikhita Raj J 2015IndiaPresentationy2015indiapresentation
Coverage Data Exchange is no robbery…or is it?Darron May and Samiran Laha2015United StatesPostery2015united-statesposter
Coverage Data Exchange is no robbery…or is it?Darron May and Samiran Laha2015United StatesPapery2015united-statespaper
Coverage Driven Distribution of Constrained Random StimuliMarat Teplitsky, Amit Metodi, and Raz Azaria2015United StatesPapery2015united-statespaper
Coverage Driven Distribution of Constrained Random StimuliRaz Azaria, Amit Metodi, and Marat Teplitsky2015United StatesPresentationy2015united-statespresentation
Coverage Driven Signoff with Formal Verification on Power Management IPsBaosheng Wang and Xiaolin Chen2016United StatesPapery2016united-statespaper
Coverage Driven Signoff with Formal Verification on Power Management IPsBaosheng Wang and Xiaolin Chen2016United StatesPostery2016united-statesposter
Coverage Driven Verification of an Unmodified DUT within an OVM TestbenchMichael Baird2010United StatesPapery2010united-statespaper
Coverage Models for Formal VerificationXiushan Feng, Xiaolin Chen, and Abhishek Muchandikar2017United StatesPapery2017united-statespaper
Coverage Models for Formal VerificationXiushan Feng, Xiaolin Chen, and Abhishek Muchandikar2017United StatesPostery2017united-statesposter
COVERGATE: Coverage ExposedRich Edelman2020United StatesPostery2020united-statesposter
COVERGATE: Coverage ExposedRich Edelman2020United StatesPapery2020united-statespaper
Covering All the bases: Coverage-driven Formal Verification Sign-off of Pipelined Error Detection FilterHarbaksh Gupta, Anshul Jain2023IndiaPresentationy2023indiapresentation
Covering All the bases: Coverage-driven Formal Verification Sign-off of Pipelined Error Detection FilterHarbaksh Gupta, Anshul Jain2023IndiaPapery2023indiapaper
Covering the Last Mile in SoC-Level Deadlock VerificationJef Verdonck, Khaled Nsaibia, Sagar Dewangan, HarGovind Singh and Roger Sabbagh2019EuropePresentationy2019europepresentation
Covering the Last Mile in SoC-Level Deadlock VerificationJef Verdonck, Dhruv Gupta, and HarGovind Singh2018EuropePresentationy2018europepresentation
CRAVE 2.0: The Next Generation Constrained Random Stimuli Generator for SystemCHoang M. Le and Rolf Drechsler2014EuropePapery2014europepaper
CRAVE 2.0: The Next Generation Constrained Random Stimuli Generator for SystemCHoang M. Le and Rolf Drechsler2014EuropePresentationy2014europepresentation
Creating 5G Test Scenarios, the Constrained-Random wayKeshav Kannan and Eric P. Kim2023United StatesPapery2023united-statespaper
Creating 5G Test Scenarios, the Constrained-Random wayKeshav Kannan, Eric P. Kim2023United StatesPresentationy2023united-statespresentation
Creating a Complete Low Power Verification Strategy using the Common Power Format and UVMRobert Meyer and Joel Artmann2012United StatesPapery2012united-statespaper
Creating the Optimal Regression Farm Infrastructure That Meets All Your Team’s Simulation RequirementsDavid Lacey and Ed Powell2018United StatesPapery2018united-statespaper
Creating the Optimal Regression Farm Infrastructure That Meets All Your Team’s Simulation RequirementsDavid Lacey and Ed Powell2018United StatesPostery2018united-statesposter
Cross Coverage of Power StatesVeeresh Vikram Singh and Awashesh Kumar2016United StatesPapery2016united-statespaper
Cross-Domain Datapath Validation Using Formal Proof AcceleratorsAarti Gupta, S. S. Bindumadhava, M. Achutha KiranKumar V, Liu Jun B2014IndiaPresentationy2014indiapresentation
Customizing UVM Agent Supporting Multi-Layered & TDM ProtocolsAmit Pessach2019EuropePresentationy2019europepresentation
Customizing UVM Agent Supporting Multi-Layered & TDM ProtocolsAmit Pessach2018EuropePresentationy2018europepresentation
CXL verification using portable stimulusKarthick Gururaj2023IndiaPresentationy2023indiapresentation
Data Flow Based Memory IP Creation InfrastructureAbhilash V Nair, Praveen Buddireddy, Tor Jeremiassen, Rashmi Venkatesh, and Prajakta Bhutada2014IndiaPapery2014indiapaper
Data path verification on cross domain with formal scoreboardLiu Jun2014EuropePapery2014europepaper
Data path verification on cross domain with formal scoreboardLiu Jun2014EuropePostery2014europeposter
Data-Driven Verification: Driving the next wave of productivity improvementsLarry Melling, Chris Komar, Sharon Rosenberg, Michael Young, and Hanan Moller2019United StatesPresentationy2019united-statespresentation
DatagenDV: Python Constrained Random Test Stimulus FrameworkJon George, James Mackenzie2023United StatesPresentationy2023united-statespresentation
DatagenDV: Python Constrained Random Test Stimulus FrameworkJonathan George, James Mackenzie2023United StatesPapery2023united-statespaper
Day 1 OpeningAccellera Systems Initiative2022EuropeVideoy2022europevideo
Day 2 OpeningAccellera Systems Initiative2022EuropeVideoy2022europevideo
DDR Controller IP Evaluation Studies using Trace Based MethodologyAbhilash Nair, Amit Nene, Ritesh Sojitra, Prashant Karandikar and Prajakta Bhutada2014IndiaPapery2014indiapaper
De-mystifying synchronization between various verification components by employing novel UVM classesPushpal Nautiyal and Gaurav Chugh2016United StatesPapery2016united-statespaper
De-mystifying synchronization between various verification components by employing novel UVM classesPushpal Nautiyal and Gaurav Chugh2016United StatesPostery2016united-statesposter
Deadlock Free Design Assurance Using Architectural Formal VerificationBhushan Parikh, Shaman Narayana2023United StatesPapery2023united-statespaper
Deadlock Free Design Assurance Using Architectural Formal VerificationBhushan Parikh, Shaman Narayana2023United StatesPresentationy2023united-statespresentation
Deadlock Verification For Dummies – The Easy Way of Using SVA and FormalMark Eslinger, Jeremy Levitt, and Joe Hupcey III2020United StatesPostery2020united-statesposter
Deadlock Verification For Dummies – The Easy Way Using SVA and FormalMark Eslinger, Jeremy Levitt, and Joe Hupcey III2020United StatesPapery2020united-statespaper
Dealing with Programmable IP: Where the Rubber Meets the PSS Deployment RoadKarthick Gururaj2020United StatesPresentationy2020united-statespresentation
Debarshi Chatterjee, Spandan Kachhadia, Chen Luo, Kumar Kushal, Siddhanth DhodhiMoonki Jang2023United StatesPresentationy2023united-statespresentation
Debug APIs – next wave of innovation in DV spaceSrinivasan Venkataramanan and Ajeetha Kumari2017United StatesPostery2017united-statesposter
Debug APIs – next wave of innovation in DV spaceSrinivasan Venkataramanan and Ajeetha Kumari2017United StatesPapery2017united-statespaper
Debug Automation with AICraig Yang, Jaw Lee, Sherwin Lai2023TaiwanPapery2023taiwanpaper
Debug Challenges in Low-Power Design and VerificationDurgesh Prasad, Madhur Bhargava, Jitesh Bansal, and Chuck Seeley2015United StatesPostery2015united-statesposter
Debug Challenges in Low-Power Design and VerificationDurgesh Prasad, Madhur Bhargava, Jitesh Bansal, and Chuck Seeley2015United StatesPapery2015united-statespaper
Debug Time Reduction by Automatic Generation of Waiver List Using ML TechniquesVardhana M, Akshay Jain, Kota Subba Rao Sajja2022IndiaPresentationy2022indiapresentation
Debugging Communicating Systems: The Blame Game – Blurring the Line Between Performance Analysis and DebugRich Edelman and Raghu Ardeishar2014United StatesPapery2014united-statespaper
Debugging Functional Coverage Models Get The Most Out of Your Cover CrossesMennatallah Amer and Amr Hany2018United StatesPapery2018united-statespaper
Debugging Functional Coverage Models Get The Most Out of Your Cover CrossesMennatallah Amer and Amr Hany2018United StatesPresentationy2018united-statespresentation
Debugging Linux Kernel Failures on Virtual PlatformSandeep Jain2017IndiaPresentationy2017indiapresentation
Deep Learning for Design and Verification EngineersJohn Aynsley2018United StatesPresentationy2018united-statespresentation
Deep Learning for EngineersJohn Aynsley2019United StatesPresentationy2019united-statespresentation
Deep Predictive Coverage CollectionRajarshi Roy, Chinmay Duvedi, Saad Godil, and Mark Williams2018United StatesPapery2018united-statespaper
Deep Predictive Coverage CollectionRajarshi Roy, Chinmay Duvedi, Saad Godil, and Mark Williams2018United StatesPresentationy2018united-statespresentation
Defining TLM+Wolfgang Ecker, Volkan Esen, Robert Schwencker, and Michael Velten2010United StatesPapery2010united-statespaper
DeltaCov: Automated Stimulus Quality Monitoring SystemNimish Girdhar, Srinivas Badam2021United StatesPapery2021united-statespaper
Democratizing Digital-centric Mixed-signal Verification methodologiesSumit Vishwakarma2023United StatesPresentationy2023united-statespresentation
Democratizing Formal VerificationTobias Ludwig, Michael Schwarz, Paulius Morkunas, Silvio Santana, Dominik Stoffel, Wolfgang Kunz2021EuropePapery2021europepaper
Demystifying Formal Testbenches: Tips, Tricks, and RecommendationsShahid Ikram, Mark Eslinger 2023United StatesPapery2023united-statespaper
Demystifying Formal Testbenches: Tips, Tricks, and RecommendationsDr. Shahid Ikram, Mark Eslinger2023United StatesPresentationy2023united-statespresentation
Demystifying the UVM Configuration DatabaseVanessa R. Cooper and Paul Marriott2014United StatesPapery2014united-statespaper
Demystifying the UVM Configuration DatabaseVanessa R. Cooper and Paul Marriott2014United StatesPostery2014united-statesposter
Deploying Customized Solution for Graphics Registers with UVM1.2 RALRoman Wang, Nigel Wang, Lunping Guo, Robert Liu, and Jia Zhu2018United StatesPresentationy2018united-statespresentation
Deploying Customized Solution for Graphics Registers with UVM1.2 RALRoman Wang, Nigel Wang, Lunping Guo, Robert Liu, and Jia Zhu2018United StatesPapery2018united-statespaper
Deploying HLS in a DO-254/ED-80 WorkflowByron Brinson Jacob Wiltgen, David Aerne and Tammy Reeve2020EuropePoster, Presentationy2020europeposter presentation
Deploying HLS in a DO-254/ED-80 WorkflowTammy Reeve, Jacob Wiltgen, Byron Brinson, and David Aerne2020EuropePapery2020europepaper
Deploying Parameterized Interface with UVMWayne Yun and Shihua Zhang2013United StatesPapery2013united-statespaper
DEPLOYING PARAMETERIZED INTERFACE WITH UVMWayne Yun and Shihua Zhang2013United StatesPostery2013united-statesposter
Design & Verify Virtual Platform with reusable TLM 2.0Ankush Kumar2015IndiaPresentationy2015indiapresentation
Design and verification in ARMHobson Bullman2016EuropePresentationy2016europepresentation
Design and Verification of a Multichip Coherence ProtocolShahid Ikram, Isam Akkawi, Richard Kessler, Jim Ellis, and David Asher2015United StatesPapery2015united-statespaper
Design and Verification of a Multichip Coherence ProtocolShahid Ikram, Isam Akkawi, Richard Kessler, Jim Ellis, and David Asher2015United StatesPostery2015united-statesposter
Design and Verification of an Image Processing CPU using UVMMilos Becvar and Greg Tumbush2013United StatesPapery2013united-statespaper
Design and Verification of an Image Processing CPU Using UVMGreg Tumbush and Milos Becvar2013United StatesPresentationy2013united-statespresentation
Design and Verification of the PLL using the new DCO and Its Applications to Built-In Speed Grading of Arithmetic CircuitsYi-Sheng Wang, Hsiang-Kai Teng, Shi-Yu Huang2023TaiwanPapery2023taiwanpaper
Design Guidelines for Formal VerificationAnamaya Sullerey2015United StatesPresentationy2015united-statespresentation
Design Guidelines for Formal VerificationAnamaya Sullerey2015United StatesPapery2015united-statespaper
Design Methodology for Highly Cycle Accurate SystemC Models with Better PerformanceSimranjit Singh, Prasanth Sasidharan, Sameer Deshpande, and Sandeep Puttappa2014IndiaPapery2014indiapaper
Design Patterns by Example for SystemVerilog Verification Environments Enabled by SystemVerilog 1800-2012Eldon Nelson M.S. P.E.2016United StatesPapery2016united-statespaper
Design Patterns by Example for SystemVerilog Verification Environments Enabled by SystemVerilog 1800-2012Eldon Nelson2016United StatesPresentationy2016united-statespresentation
Design space exploration of PIM (Processing in Memory) & PNC (Processing Near Memory) based on workload characterizationAmarnath, Judhajit2022IndiaPresentationy2022indiapresentation
Design verification of a cascaded mmWave FMCW RadarShweta Pujar, Vijay M, Sainath Karlapalem, Dharani Sharavanan, Kavya P S2023IndiaPostery2023indiaposter
Designers Work Less with Quality Formal Equivalence CheckingOrly Cohen, Moran Gordon, Michael Lifshits, Alexander Nadel, and Vadim Ryvchin2010United StatesPapery2010united-statespaper
Designing a PSS Reuse StrategyMatthew Ballance2019EuropePresentationy2019europepresentation
Designing a PSS Reuse StrategyMatthew Ballance2018EuropePresentationy2018europepresentation
Designing A PSS Reuse StrategyMatthew Ballance2019IndiaPapery2019indiapaper
Designing Portable UVM Test Benches for Reusable IPsXiaoning Zhang, Baosheng Wang, and Terry Li2015United StatesPapery2015united-statespaper
Designing Portable UVM Test Benches for Reusable IPsXIAONING ZHANG and BAOSHENG WANG2015United StatesPostery2015united-statesposter
Designing PSS Environment Integration for Maximum ReuseMatthew Ballance2020United StatesPresentationy2020united-statespresentation
Designing PSS Environment Integration for Maximum ReuseMatthew Ballance2020United StatesPapery2020united-statespaper
Designing the Future with Efficiency Guidance to Adopting SystemVerilog for Design!Axel Scherer and Junette Tan2015EuropePapery2015europepaper
Designing, Verifying and Building an Advanced L2 Cache Sub-System using SystemCThomas Tessier, Dr. Hai Lin, Daniel Ringoen, Eileen Hickey, and Steven Anderson2012United StatesPapery2012united-statespaper
Designing, Verifying and Building an Advanced L2 Cache Subsystem using SystemCSteve Frank, Thomas Tessier, Dr. Hai Lin, Daniel Ringoen, Eileen Hickey, and Steven Anderson2012United StatesPresentationy2012united-statespresentation
Detecting Circular Dependencies in Forward Progress CheckersSaurabh Chaurdia, Arun Khurana, Naveen Kumar, Aditya Chaurasiya, Yogesh Mahajan, Prasenjit Biswas2021United StatesPapery2021united-statespaper
Detecting Harmful Race Conditions in SystemC Models Using Formal TechniquesSven Beyer and Dominik Strasser2015United StatesPresentationy2015united-statespresentation
Detecting Harmful Race Conditions in SystemC Models Using Formal TechniquesSven Beyer and Dominik Strasser2015United StatesPapery2015united-statespaper
Detection of glitch-prone clock and reset propagation with automated formal analysisKaushal Shah, Sulabh Kumar Khare2021EuropePapery2021europepaper
Determining Test Quality through Dynamic Runtime Monitoring of SystemVerilog AssertionsKelly D. Larson2014United StatesPapery2014united-statespaper
Determining Test Quality through Dynamic Runtime Monitoring of SystemVerilog AssertionsKelly D. Larson2014United StatesPresentationy2014united-statespresentation
Detoxify Your Schedule With A Low-Fat UVM EnvironmentNihar Shah2016United StatesPapery2016united-statespaper
Detoxify Your Schedule With A Low-Fat UVM Environment A success story on using self-checking stimulus instead of a scoreboard to reduce development timeNihar Shah2016United StatesPostery2016united-statesposter
Developing & Testing Automotive Software on Multi SoC ECU Architectures using Virtual PrototypingSam Tennent2018EuropePresentationy2018europepresentation
Developing a Portable Block Testbench and Reusable SOC Verification Scenarios Using IP XACT Based AutomationTaejin Kim, Minjae Kim, Yonghyun Yang, Hyundon Kim, Daewoo Kim, Tae Hee Han, and Seonil Brian Choi2020United StatesPresentationy2020united-statespresentation
Developing Dynamic Resource Management System in SoCEmulationSeonchang Choi, Sangwoo Noh, Seonghee Yim and Seonil Brian Choi2019EuropePresentationy2019europepresentation
Developing Dynamic Resource Management System in SoCEmulationSeonchang Choi and Seonghee Yim2018EuropePresentationy2018europepresentation
Development and Verification of RISC-V Based DSP Subsystem IP: Case StudyPascal Gouedo, Damien Le Bars, Olivier Montfort, Lee Moore, Aimee Sutton, Larry Lapides2022EuropePapery2022europepaper
Development of a Core-Monitor for HW/SW Co-Debugging targetting Emulation PlatformShreya Morgansgate, Johannes Grinschgl, Djones Lettnin2022EuropePresentationy2022europepresentation
Development of Flexi Performance Analysis Platform for Multi–SoC Networking SystemsSrinivasan Reddy Devarajan, Anant Raj Gupta, IngoVolkening, Franz Josef Schaefer and Vijay Raj Franklin Paul Rajan William2019EuropePresentationy2019europepresentation
Did Power Management Break My CDC Logic? An Integrated Approach to Power Domain and Clock Domain Crossing VerificationAshish Amonkar, Kurt Takara, and Avinash Agrawal2020United StatesPresentationy2020united-statespresentation
Differentiating with Custom Compute and Use Case IntroShigehiko Ito2023JapanPresentationy2023japanpresentation
Digital Eye For Aid of Blind PeopleJagu Naveen Kumar, Pabbuleti Venu2023IndiaPostery2023indiaposter
Digital mixed-signal low power verification with Unified Power Format (UPF)Srilakshmi D R and Geeta Krishna Chaitanya Puli2021IndiaPostery2021indiaposter
Digital mixed-signal low power verification with Unified power format (UPF)Srilakshmi D R and Geeta Krishna Chaitanya Puli2021IndiaPresentationy2021indiapresentation
Digital Transformation of EDA Environments To Accelerate Semiconductor InnovationGuru Charan Prasad Jonnalagadda2022IndiaPresentationy2022indiapresentation
Digitizing Mixed Signal VerificationDavid Brownell and Courtney Schmitt2014United StatesPapery2014united-statespaper
Digitizing Mixed Signal Verification: Digital Verification Techniques Applied to Mixed Signal and Analog Blocks on the LMA ProjectDavid Brownell and Courtney Schmitt2014United StatesPresentationy2014united-statespresentation
Disaggregated methodology in Multi-die SoC– A Server SoC Case StudyRohit Kumar Sinha2023IndiaPresentationy2023indiapresentation
Disaggregated methodology in Multi-die SoC– A Server SoC Case StudyRohit Kumar Sinha2023IndiaPapery2023indiapaper
Disciplined Post Silicon Validation using ML IntelligenceAmaresh Chellapilla, Pandithurai Sangaiyah2022IndiaPresentationy2022indiapresentation
Discover Over-Constraints by Leveraging Formal ToolDongsheng Ouyang, Ray Zhang, Lucas Liu, Doris Yin, Wayne Ding2023United StatesPapery2023united-statespaper
Discover Over-Constraints by Leveraging Formal ToolDongsheng Ouyang, Ray Zhang, Lucas Liu, Doris Yin, Wayne Ding2023United StatesPostery2023united-statesposter
Discovering Deadlocks in a Memory Controller IPJef Verdonck, Emrah Armagan, Khaled Nsaibia, Slava Bulach, Pranay Gupta, Anshul Jain, Chirag Agarwal, and Roger Sabbagh2020EuropePresentationy2020europepresentation
Discovering Deadlocks in a Memory Controller IPJef Verdonck, Emrah Armagan, Khaled Nsaibia, Slava Bulach, Pranay Gupta, Anshul Jain, Chirag Agarwal and Roger Sabbagh2020EuropePapery2020europepaper
Distributed Simulation of UVM TestbenchTheta Yang2016United StatesPapery2016united-statespaper
Distributed Simulation of UVM TestbenchTheta Yang2016United StatesPostery2016united-statesposter
Do not forget to ‘Cover’ your SystemC code with UVMCVishal Baskar2023United StatesPostery2023united-statesposter
Do not forget to ‘Cover’ your SystemC code with UVMCVishal Baskar2023United StatesPapery2023united-statespaper
Do not forget to ‘Cover’ your SystemC code with UVMCVishal Baskar2023United StatesPapery2023united-statespaper
Do You Know What Your Assertions Are up To? A New Approach to Safety Critical VerificationLee C. Smith2016United StatesPresentationy2016united-statespresentation
Do You Know What Your Assertions Are Up To? A New Approach to Safety Critical VerificationLee C. Smith2016United StatesPapery2016united-statespaper
Do You Verify Your Verification Components?Josh Rensch and Neil Johnson2016United StatesPostery2016united-statesposter
Does It Pay Off To Add Portable Stimulus Layer On Top Of UVM IP Block Test Bench?Xia Wu, Jacob Sander Andersen and Ole Kristoffersen2020EuropePapery2020europepaper
Does It Pay Off To Add Portable Stimulus Layer On Top Of UVM IP Block Test Bench?Xia Wu, Jacob Sander Andersen, and Ole Kristoffersen2020EuropePresentationy2020europepresentation
Doing Funny Stuff with the UVM Register Layer: Experiences Using Front Door Sequences, Predictors, and CallbacksJohn Aynsley2017United StatesPresentationy2017united-statespresentation
Doing Funny Stuff with the UVM Register Layer: Experiences Using Front Door Sequences, Predictors, and CallbacksJohn Aynsley2017United StatesPapery2017united-statespaper
Doing the Impossible: Using Formal Verification on Packet Based Data PathsDoug Smith 2023United StatesPapery2023united-statespaper
Doing the Impossible: Using Formal Verification on Packet Based Data PathsDoug Smith2023United StatesPresentationy2023united-statespresentation
Don’t delay catching bugs: Using UVM based architecture to model external board delaysAmit Paunikar, Saurabh Arya, Vikas Makhija, and Shaily Khare2018United StatesPostery2018united-statesposter
Don’t delay catching bugs: Using UVM based architecture to model external board delaysAmit Paunikar, Saurabh Arya, Vikas Makhija, and Shaily Khare2018United StatesPapery2018united-statespaper
Don’t Forget the Protocol! A CDC Protocol Methodology to Avoid Bugs in SiliconAbdelouahab Ayari, Sukriti Bisht, Sulabh Kumar Khare and Kurt Takara2019EuropePresentationy2019europepresentation
Don’t Forget the Protocol! A CDC Protocol Methodology to Avoid Bugs in SiliconAbdelouahab Ayari, Sukriti Bisht, Sulabh Kumar Khare, and Kurt Takara2018EuropePresentationy2018europepresentation
Double the Return from your Property Portfolio: Reuse of Verification Assets from Formal to SimulationJonathan Bromley2015United StatesPresentationy2015united-statespresentation
Double the Return from your Property Portfolio: Reuse of Verification Assets from Formal to SimulationJonathan Bromley2015United StatesPapery2015united-statespaper
DPI Redux. Functionality. Speed. Optimization.Rich Edelman, Rohit Jain, and Hui Yin2017United StatesPapery2017united-statespaper
DPI Redux. Functionality. Speed. Optimization.Rich Edelman, Rohit Jain, and Hui Yin2017United StatesPresentationy2017united-statespresentation
Dr. Shahid Ikram, Distinguished Engineer, Marvell Semi Mark Eslinger, Product Engineer, SiemensDaniel Cross2023United StatesPresentationy2023united-statespresentation
Driving Analog Stimuli from a UVM TestbenchSatvika Challa, Amlan Chakrabarti 2015IndiaPostery2015indiaposter
DV Methodology to Model Scalable/Reusable Component to Handle IO Delays/Noise/Crosstalk in Multilane DDR PHY IFTapas Ranjan Jena, Gowdra Bomanna Chethan, Sriram Kazhiyur Sounderrajan, Somasunder Kattepura Sreenath2019IndiaPapery2019indiapaper
DVCon EU 2014 ProceedingsAccellera Systems Initiative2014EuropeProgramy2014europeprogram
DVCon EU 2015 ProceedingsAccellera Systems Initiative2015EuropeProgramy2015europeprogram
DVCon EU 2016 ProceedingsAccellera Systems Initiative2016EuropeProgramy2016europeprogram
DVCon EU 2017 ProceedingsAccellera Systems Initiative2017EuropeProgramy2017europeprogram
DVCon EU 2018 ProceedingsAccellera Systems Initiative2018EuropeProgramy2018europeprogram
DVCon EU 2019 ProceedingsAccellera Systems Initiative2019EuropeProgramy2019europeprogram
DVCon EU 2020 ProceedingsAccellera Systems Initiative2020EuropeProgramy2020europeprogram
DVCon EU 2020 ProceedingsAccellera Systems Initiative2020EuropeVideoy2020europevideo
DVCon EU 2021 ProceedingsAccellera Systems Initiative2021EuropeProgramy2021europeprogram
DVCon Europe 2015 Road to self driving cars: View of a semiconductor companyHans Adlkofer2015EuropePresentationy2015europepresentation
DVCon Europe 2022 Proceedings Showcase LinkAccellera Systems Initiative2022EuropeVideoy2022europevideo
DVCon India 2021 ProceedingsAccellera Systems Initiative2021IndiaVideoy2021indiavideo
DVCon India 2022 ProceedingsAccellera Systems Initiative2022IndiaVideoy2022indiavideo
DVCon JP 2022 ProceedingsAccellera Systems Initiative2022JapanProgramy2022japanprogram
DVCon JP 2023 ProceedingsAccellera Systems Initiative2023JapanProgramy2023japanprogram
DVCon U.S 2021 ProceedingsAccellera Systems Initiative2021United StatesProgramy2021united-statesprogram
DVCon U.S. 2021 ProceedingsAccellera Systems Initiative2021United StatesVideoy2021united-statesvideo
DVCon U.S. 2022 ProceedingsAccellera Systems Initiative2022United StatesVideoy2022united-statesvideo
DVCon US 2022 ProceedingsAccellera Systems Initiative2022United StatesProgramy2022united-statesprogram
DVCon US 2023 ProceedingsAccellera Systems Initiative 2023United StatesProgramy2023united-statesprogram
DVCon USA 2023 ProceedingsAccellera Systems Initiative2023United StatesVideoy2023united-statesvideo
DVS Interface Element – A Novel Approach in Multi-Power Domain, Mixed-Signal Design VerificationVijay Kumar Sankaran, Ji Du, Qingyu Lin, Arumugan A, and Badrinarayan Zanwar2016United StatesPapery2016united-statespaper
DVS Interface Element—A Novel Approach in Multi-Power Domain, Mixed-Signal Design VerificationShekar Chetput2016United StatesPostery2016united-statesposter
Dynamic and Scalable OVM Stimulus for Accelerated Functional CoverageMichael J Castle2012United StatesPapery2012united-statespaper
Dynamic Control Over UVM Register Backdoor HierarchyRoy Vincent, Unnikrishnan Nath, and Ashok Chandran2019United StatesPostery2019united-statesposter
Dynamic Fault Injection Library Approach for SystemC AMSThomas Markwirth, Paul Ehrlich, and Dominik Matter2016EuropePapery2016europepaper
Dynamic Fault Injection Library Approach for SystemC AMSThomas Markwirth, Paul Ehrlich, and Dominik Matter2016EuropePresentationy2016europepresentation
Dynamic Parameter Configuration of SystemC ModelsShruti Baindur, Simranjit Singh2015IndiaPresentationy2015indiapresentation
Dynamic Power Automation UVM FrameworkRaghavendra J N, Gudidevuni Harathi2015IndiaPostery2015indiaposter
Dynamic Regression Suite Generation Using Coverage-Based ClusteringShahid Ikram and Jim Ellis2017United StatesPresentationy2017united-statespresentation
Dynamic Regression Suite Generation Using Coverage-Based ClusteringShahid Ikram and Jim Ellis2017United StatesPapery2017united-statespaper
Dynamically Optimized Test Generation Using Machine LearningRajarshi Roy, Mukhdeep Singh Benipal, Saad Godil2021United StatesPapery2021united-statespaper
e/eRM to SystemVerilog/UVM: Mind the Gap, But Don’t Miss the TrainAvidan Efody and Michael Horn2012United StatesPapery2012united-statespaper
e/eRM to SystemVerilog/UVM: Mind the Gap, But Don’t Miss the TrainMichael Horn2012United StatesPresentationy2012united-statespresentation
Early Design and Validation of an AI Accelerator’s System Level Performance Using an HLS Design MethodologyWenbo Zheng2021ChinaPresentationy2021chinapresentation
Early Detection of Functional Corner Case Bugs using Methodologies of the ISO 26262Moonki Jang, Sunil Roe, Youngsik Kim, Seonil Brian Choi2023United StatesPapery2023united-statespaper
Early Performance Verification of Embedded Inferencing Systems using open source SystemC NVIDIA MatchLibHerbert Taucher and Russell Klein2019EuropePresentationy2019europepresentation
EARLY SOFTWARE DEVELOPMENT AND VERIFICATION METHODOLOGY USING HYBRID EMULATION PLATFORMWoojoo Kim, Haemin Park, Hyundon Kim, Seonil Brian Choi, and SukWon Kim2017United StatesPapery2017united-statespaper
EASI2L: A Specification Format for Automated Block Interface Generation and VerificationChintan Kaur, Ravi Narayanaswami, and Richard Ho2016United StatesPapery2016united-statespaper
Easier SystemVerilog with UVM: Taming the BeastJohn Aynsley2012United StatesPapery2012united-statespaper
Easier SystemVerilog with UVM: Taming the BeastJohn Aynsley2012United StatesPresentationy2012united-statespresentation
Easier UVM – Coding Guidelines and Code GenerationJohn Aynsley and Dr. Christoph Sühnel2014United StatesPapery2014united-statespaper
Easier UVM – Making Verification Methodology More ProductiveJohn Aynsley and David Long2014EuropePresentationy2014europepresentation
Easier UVM – Making Verification Methodology More ProductiveJohn Aynsley, David Long2014IndiaPresentationy2014indiapresentation
Easier UVM for Functional Verification by Mainstream UsersJohn Aynsley2011United StatesPapery2011united-statespaper
Easier UVM: Learning and Using UVM with a Code GeneratorJohn Aynsley, Doulos2015EuropePresentationy2015europepresentation
Easy Steps Towards Virtual Prototyping using the SystemVerilog DPIDave Rich2013United StatesPapery2013united-statespaper
Easy Testbench Evolution – Styling Sequences and DriversRich Edelman, Kento Nishizawa2023JapanPapery2023japanpaper
Easy Testbench Evolution Styling Sequences and DriversRich Edelman, Kento Nishizawa2023JapanPresentationy2023japanpresentation
Easy uvm_config_db use: A simplified and reusable uvm_config_db methodology for environment developers and test writersBob Oden2015United StatesPapery2015united-statespaper
Easy uvm_config_db use: A simplified and reusable uvm_config_db methodology for environment developers and test writersBob Oden2015United StatesPostery2015united-statesposter
Effective Formal Deadlock Verification Methodologies for Interconnect designSachin Kumar, Rajesh C M2022IndiaPresentationy2022indiapresentation
Effects of Abstraction in Stimulus Generation of Layered Protocols within OVMJosh Rensch and Jesse Prusi2010United StatesPapery2010united-statespaper
Efficacious Verification of Irreproachable and Steady Data Transfer Protocol for high-speed die-to-die communication on a 3DIC ChipVarun Kumar C, Jyoti Verma, Sekhar Dangudubiyyam2023IndiaPresentationy2023indiapresentation
Efficacious Verification of Irreproachable and Steady Data Transfer Protocol for high-speed die-to-die communication on a 3DIC ChipVarun Kumar C, Jyoti Verma, Sekhar Dangudubiyyam2023IndiaPapery2023indiapaper
Efficacious verification of Loopback and Equalization in PCIe By Using Novel approachJaydeep Suvariya, Pinal Patel2022IndiaPresentationy2022indiapresentation
Efficient and Exhaustive Floating Point Verification Using Sequential Equivalence CheckingTravis Pouarz and Vaibhav Agrawal2017United StatesPresentationy2017united-statespresentation
Efficient and Exhaustive Floating Point Verification Using Sequential Equivalence CheckingTravis W. Pouarz and Vaibhav Agrawal2017United StatesPapery2017united-statespaper
Efficient and Faster Handling of CDC/RDC ViolationsAshish Kumar Gupta2017IndiaPresentationy2017indiapresentation
Efficient Bug-Hunting Techniques Using Graph-Based Stimulus ModelsNguyen Le and Mike Andrews2016United StatesPresentationy2016united-statespresentation
Efficient Bug-Hunting Techniques Using Graph-Based Stimulus ModelsNguyen Le and Mike Andrews2016United StatesPapery2016united-statespaper
Efficient Clock Monitoring System for SoC Clock VerificationNam Pham Van, Bernhard Braun, Dirk Moeller, and Clemens Roettgermann2016EuropePapery2016europepaper
Efficient Clock Monitoring System for SoC Clock VerificationNam Pham Van2016EuropePresentationy2016europepresentation
Efficient Constrained Random Generation of Address Blocks: A comparative study of simulation speedsMeenakshy Ramachandran2015EuropePostery2015europeposter
Efficient Constrained Random Generation of Address Blocks: A comparative study of simulation speedsMeenakshy Ramachandran2015EuropePapery2015europepaper
Efficient distribution of video frames to achieve better throughputBhavik Vyas and Suruchi Jain2012United StatesPapery2012united-statespaper
Efficient Exploration of Safety-Relevant Systems Through a Link Between Analysis and SimulationMoomen Chaari, Wolfgang Ecker, Thomas Kruse, Cristiano Novello, and Bogdan-Andrei Tabacaru2016EuropePresentationy2016europepresentation
Efficient Exploration of Safety-Relevant Systems Through a Link Between Analysis and SimulationMoomen Chaari, Wolfgang Ecker, Thomas Kruse, Cristiano Novello, and Bogdan-Andrei Tabacaru2016EuropePapery2016europepaper
Efficient Fault Injection Methods for Safety Software Testing Based on Virtual Prototypes: Application to Powertrain ECUOns Mbarek, Dineshkumar Selvaraj, and Romero Chica Jose Miguel2018EuropePapery2018europepaper
Efficient Formal strategies to verify the robustness of the designSakthivel Ramaiah2022IndiaPresentationy2022indiapresentation
Efficient Formal strategies to verify the robustness of the designSakthivel Ramaiah2022IndiaPapery2022indiapaper
Efficient hierarchical low power verification of custom designs using static and dynamic techniquesHimanshu Bhatt, Archanna Srinivasan, and Chong Lee Kuay2019United StatesPostery2019united-statesposter
Efficient Loosely-Timed SystemC TLM-2.0 Modeling: A Hands-On TutorialNils Bosbach, Lukas Junger, Rainer Leupers2022EuropePapery2022europepaper
Efficient Loosely-Timed SystemC TLM-2.0 Modeling: A Hands-On TutorialNils Bosbach, Lukas Jünger, Rainer Leupers2022EuropePresentationy2022europepresentation
Efficient methodology to uncover common root causes for RDC violations using intelligent data analyticsManish Bhati, Rajagopal Anantharaman, Inayat Ali2023IndiaPostery2023indiaposter
Efficient methods for analog mixed signal verification Interface handling methods, trade-offs and guidelinesLakshmanan Balasubramanian, Shoeb Siddiqui, and Vijay Kumar Sankaran2014IndiaPapery2014indiapaper
Efficient Methods for Display Power Estimation & VisualizationSrikanth Reddy Rolla and Aakash Modi2020United StatesPostery2020united-statesposter
Efficient Methods for Display Power Estimation and VisualizationSrikanth Reddy Rolla and Aakash Modi2020United StatesPapery2020united-statespaper
Efficient Regression Management with Smart Data Mining Technique Tejbal Prasad2022IndiaPresentationy2022indiapresentation
Efficient Regression Management with Smart Data Mining TechniqueTejbal Prasad2022IndiaPapery2022indiapaper
Efficient SCE-MI Usage to Accelerate TBA PerformancePonnambalam Lakshmanan, Prashantkumar Ravindra, and Rajarathinam Susaimanickam2017United StatesPapery2017united-statespaper
Efficient Simulation Based Verification by ReorderingChao Ya and Kevin Jones2010United StatesPapery2010united-statespaper
Efficient SoC Level Mixed Signal Frontend Verification using Wreal ModelsAnu Marisha, Nayana Prakash, Udit Kumar, Rajesh Tiwari, and Vijay Kumar Birange2014United StatesPapery2014united-statespaper
Efficient SoC Level Mixed Signal Frontend Verification using Wreal ModelsAnu Marisha, Nayana Prakash, Udit Kumar, and Rajesh Tiwari2014United StatesPresentationy2014united-statespresentation
Efficient Standard Co-Emulation Modeling Interface (SCE-MI) Usage to Accelerate TBA PerformancePonnambalam Lakshmanan2017United StatesPresentationy2017united-statespresentation
Efficient use of Virtual Prototypes in HW/SW Development and VerificationRocco Jonack and Eyck Jentzsch2018EuropePresentationy2018europepresentation
Efficient Verification Framework for Audio/Video InterfacesNoha Shaarawy2015EuropePresentationy2015europepresentation
Efficient Verification of Arbitration Design with a Generic ModelKevin Kotadiya, Ishita Agrawal2023IndiaPresentationy2023indiapresentation
Efficient Verification of Arbitration Design with a Generic ModelKevin Kotadiya, Ishita Agrawal2023IndiaPapery2023indiapaper
Efficient Verification of Mixed-Signal SerDes IP Using UVMVarun R, Vinayak Hegde, Cadence Bangalore2017IndiaPresentationy2017indiapresentation
Effortless, Methodical and Exhaustive Register Verification using what you already haveAishwarya Sridhar, Pallavi Atha, and David Crutchfield2021IndiaPostery2021indiaposter
Effortless, Methodical and Exhaustive Register Verification using what you already have.Aishwarya Sridhar, Pallavi Atha, and David Crutchfield2021IndiaPresentationy2021indiapresentation
Embedded UVMPuneet Goel2017IndiaPresentationy2017indiapresentation
Embrace Errors! Architecting UVM Testbenches for Dynamic Error Injection & HandlingSushrut B Veerapur, Kilaru Vamsikrishna2022IndiaPostery2022indiaposter
Embracing Datapath Verification with Jasper C2RTL AppVaibhav Mittal, Sourav Roy, Anshul Singhal2022IndiaPresentationy2022indiapresentation
Embracing Formal Verification for Data Path Designs Using Golden SpecsAchutha Kirankumar V, Disha Puri, Bindumadhava S.S2017IndiaPresentationy2017indiapresentation
EMULATION BASED FULL CHIP LEVEL LOW POWER VALIDATION AT PRE-SILICON STAGEKyoungmin Park, Jaegeun Song, Hyundon Kim, Seonil Brian Choi, and Suk Won Kim2017United StatesPapery2017united-statespaper
Emulation based Power and Performance Workloads on ML NPUsPragati Mishra, Ritu Suresh, Issac P Zacharia, Jitendra Aggarwal2021EuropePapery2021europepaper
Emulation Based Power and Performance Workloads on ML NPUsPragati Mishra, Ritu Suresh, Issac Zacharia, and Jitendra Aggarwal2022United StatesPostery2022united-statesposter
Emulation Based Power and Performance Workloads on ML NPUsPragati Mishra, Ritu Suresh, Issac Zacharia, and Jitendra Aggarwal2022United StatesPapery2022united-statespaper
Emulation Testbench Optimizations for better Hardware Software Co-ValidationVijayakrishnan Rousseau, Suresh Balasubramanian, Srikanth Reddy Rolla, and Mohamed Saheel Nandikotkur Hussainsaheb2019United StatesPostery2019united-statesposter
Enabling Digital Mixed-Signal Verification of Loading Effects in Power Regulation using SystemVerilog User-Defined NettypeAlvaro Caicedo and Sebastian Fritz2019EuropePresentationy2019europepresentation
Enabling Digital Mixed-Signal Verification of Loading Effects in Power Regulation using SystemVerilog User-Defined NettypeAlvaro Caicedo and Sebastian Fritz2018EuropePresentationy2018europepresentation
Enabling Energy Aware System Level Design with UPF-Based System Level Power ModelsT4A and T4B2014EuropePresentationy2014europepresentation
Enabling Exhaustive Reset Verification Enablement: Client PCIE Design Reset Verification Case StudyRohit Kumar Sinha, Praveen Dornala2019IndiaPresentationy2019indiapresentation
Enabling high quality design sign-off with Jasper structural and auto formal checksVishnu Haridas, Mansi Rastogi, Guruprasad Timmapur2022IndiaPapery2022indiapaper
Enabling high quality design sign-off with structural and auto formal checksTimmapur, Guruprasad Haridas, Vishnu Rastogi, Mansi2022IndiaPresentationy2022indiapresentation
Enabling Shift-Left through FV Methodologies on Intel® Graphics DesignsM. Achutha KiranKumar V, Aarti Gupta Bindumadhava S S, Aishwarya R2015IndiaPresentationy2015indiapresentation
Enabling Visual Design Verification Analytics – From Prototype Visualizations to an Analytics Tool using the Unity Game EngineMarkus Borg, Andreas Brytting, and Daniel Hansson2018EuropePapery2018europepaper
End to End Formal Verification Strategies for IP VerificationJacob Ryan Maas, Nirabh Regmi, Ashish Kulkarni, and Krishnan Palaniswami2017United StatesPapery2017united-statespaper
End to End Formal Verification Strategies for IP VerificationJacob Ryan Maas, Nirabh Regmi, Krishnan Palaniswami, and Ashish Kulkarni2017United StatesPostery2017united-statesposter
Engaging with IEEE through StandardsSri Chandra, Dennis Brophy2022IndiaPresentationy2022indiapresentation
Engineered SystemVerilog ConstraintsJeremy Ridgeway2015United StatesPresentationy2015united-statespresentation
Engineered SystemVerilog ConstraintsJeremy Ridgeway2015United StatesPapery2015united-statespaper
Enhanced Dynamic Hybrid Simulation Framework for Hardware-Software VerificationVictor Besyakov2022United StatesPostery2022united-statesposter
Enhanced Dynamic Hybrid Simulation Framework for Hardware-Software VerificationVictor Besyakov2022United StatesPapery2022united-statespaper
Enhanced LDPC Codec Verification in UVMShriharsha Koila, Ganesh Shetti, Prateek Jain, Anand Shirahatti2019IndiaPapery2019indiapaper
Enhancements of metric driven verification for the ISO26262: Recent advancements better supporting specific verification requirements including functional safetyMichael Rohleder, Clemens Röttgermann, Stephan Rüttiger, John Brennan, Matt Graham, and Riccardo Oddone2016EuropePapery2016europepaper
Enhancing Productivity in Formal Testbench Generation for AHB based IPsShubham Goyal, Ishan Patil, Senthilnath Subbarayan, and Sandeep Kumar2021IndiaPostery2021indiaposter
Enhancing Quality and Coverage of CDC Closure in Intel’s SoC DesignRohit Kumar Sinha2020EuropePapery2020europepaper
Enhancing Quality and Coverage of CDC Closure in Intel’s SoC DesignRohit Kumar Sinha2020EuropePresentationy2020europepresentation
Enhancing The Effectiveness of a Constrained Random Test Suite Using Supervised Machine Learning AlgorithmPonnambalam Lakshmanan, Rajarathinam Susaimanickam2017IndiaPresentationy2017indiapresentation
Enriching UVM in SystemC with AMS extensions for randomization and functional coverage*Thilo Vörtler, Thomas Klotz, Karsten Einwich, Yao Li, Zhi Wang, Marie-Minerve Louërat, Jean-Paul Chaput, François Pêcheux, Ramy Iskander, and Martin Barnasconi2014EuropePapery2014europepaper
Enriching UVM in SystemC with AMS extensions for randomization and functional coverage*Thilo Vörtler, Thomas Klotz, Karsten Einwich, Yao Li, Zhi Wang, Marie-Minerve Louërat, Jean-Paul Chaput, François Pêcheux, Ramy Iskander, and Martin Barnasconi2014EuropePresentationy2014europepresentation
Ensuring Quality of Next Generation Automotive SoC: System’s ApproachPankaj Singh2015IndiaPresentationy2015indiapresentation
Environment for efficient and reusable SystemC module level verificationFlavia Gontia2014United StatesPapery2014united-statespaper
Environment for efficient and reusable SystemC module level verificationFlavia Gonția2014United StatesPresentationy2014united-statespresentation
Equivalence Validation of Analog Behavioral ModelsHardik Parekh, Manish Kumar Karna, Mohit Jain, Atul Pandey, and Sandeep Mittal2014United StatesPostery2014united-statesposter
Equivalence Validation of Analog Behavioral ModelsManish Kumar Karna, Hardik Parekh, Mohit Jain, Atul Pandey, and Sandeep Mittal2014United StatesPostery2014united-statesposter
Equivalence Validation of Analog Behavioral ModelsHardik Parekh, Manish Kumar Karna, Mohit Jain, Atul Pandey, and Sandeep Mittal2014United StatesPapery2014united-statespaper
Eradicating X-bugs and Achieving Higher Design Quality Using Static X-propagation Sign-offSanjay Thatte, Andrew Guyler, Nikhil Rahagude, and Savitha Raghunath2020United StatesPresentationy2020united-statespresentation
Error Injection in a Subsystem Level Constrained Random UVM TestbenchJeremy Ridgeway and Hoe Nguyen2018United StatesPresentationy2018united-statespresentation
Error Injection in a Subsystem Level Constrained Random UVM TestbenchJ. Ridgeway and H. Nguyen2018United StatesPapery2018united-statespaper
Error Injection: When Good Input Goes BadKurt Schwartz and Tim Corcoran2017United StatesPapery2017united-statespaper
Error Injection: When Good Input Goes BadKurt Schwartz and Tim Corcoran2017United StatesPresentationy2017united-statespresentation
ESL Design and Modeling with SystemCAMS for Mixed-Signal IoTand Automotive ApplicationsKarsten Einwich, Martin Barnasconi, Christoph Grimm, and Torsten Mähne2017EuropePresentationy2017europepresentation
Essential Adjuncts of Verification InfrastructureKunal Panchal, Harshit Mehta2017IndiaPresentationy2017indiapresentation
Estimating Power Dissipation of End-User Application on RTLMagdy El-Moursy2022United StatesPresentationy2022united-statespresentation
Evaluating the Feasibility of a RISC V Core for Real Time Applications Using a Virtual PrototypeJ. Santana , G. Pachiana, T. Markwirth, C. Sohrmann, B. Fischer, M. Matschnig 2022United StatesPresentationy2022united-statespresentation
Evaluating the Feasibility of a RISCV Core for Real-Time Applications Using a Virtual PrototypeJuan Santana, Gabriel Pachiana, Thomas Markwirth, Christoph Sohrmann, Bernhard Fischer, and Martin Matschnig2022United StatesPapery2022united-statespaper
Every Cloud – Post-Silicon Bug Spurs Formal Verification AdoptionBlaine Hsieh, Stewart Li, and Mark Eslinger2015United StatesPresentationy2015united-statespresentation
Every Cloud – Post-Silicon Bug Spurs Formal Verification AdoptionBlaine Hsieh, Stewart Li, and Mark Eslinger2015United StatesPapery2015united-statespaper
Evolution of CDC recipe: Learning through real case studies and methodology improvementsAmit Kulkarni, Suhas DS, Deepmala Sachan2021United StatesPapery2021united-statespaper
Evolution of Triage: Real-time Improvements in Debug ProductivityGordon Allan2016United StatesPapery2016united-statespaper
Evolutionary and Revolutionary Innovation for Effective Verification Management & ClosureDarron May, Mark Carey, Dan Yu2023United StatesPresentationy2023united-statespresentation
Exhaustive Equivalence Checking on AMD’s Next-generation Microprocessor CoreBaosheng Wang, Brian McMinn, Borhan Roohipour, Ashok Venkatachar, Arun Chandra, Richard Bartolotti, and Lerzan Celikkanat2011United StatesPapery2011united-statespaper
Exhaustive Latch Flow – Through Verification with Formal MethodsBaosheng Wang, Sean Ater, Baris Piyade, Brian McMinn, and Borhan Roohipour2012United StatesPresentationy2012united-statespresentation
Exhaustive Latch Flow-through Verification with Formal MethodsBaosheng Wang, Sean Ater, Baris Piyade, Brian McMinn, and Borhan Roohipour2012United StatesPapery2012united-statespaper
Exhaustive Reset Verification Enablement: Client PCIE Design Reset Verification Case StudyRohit Kumar Sinha, Praveen Dornala2019IndiaPapery2019indiapaper
Exhaustive validation of a cache memory controller using Formal Verification to meet performance and timing requirementsHimani Jawa, Nishant Raman, Sini Balakrishnan, Manas Karanjekar2022IndiaPresentationy2022indiapresentation
Exhaustive validation of a cache memory controller using Formal Verification to meet performance and timing requirementsHimani Jawa, Nishant Raman, Sini Balakrishnan, Manas Karanjekar2022IndiaPapery2022indiapaper
Expedite any Simulation with DMTCP and Save Decades of ComputationBalaji R, Sathish Kumar Sugumaran, Rohan Garg, Jiajun Cao2017IndiaPresentationy2017indiapresentation
Expediting the Code Coverage Closure Using Static Formal Techniques – A Proven Approach at Block and SoC Levels 2015IndiaPresentationy2015indiapresentation
Expediting Verification of Critical SoC Components Using Formal MethodsNuni Srikanth, Lakshman Easwaran, Maddipatla Shankar Naidu2014IndiaPresentationy2014indiapresentation
Experience of using Formal Verification for a Complex Memory Subsystem DesignSujeet Kumar,Vandana Goel,Hrushikesh Vaidya, and Ronak Sarikhada2020EuropePoster, Presentationy2020europeposter presentation
Experience of Using Formal Verification for a Complex Memory Subsystem DesignSujeet Kumar, Vandana Goel, Hrushikesh Vaidya and Ronak Sarikhada2020EuropePapery2020europepaper
Experience with OVM-Based Mixed-Signal Verification of the Impedance Calibration Block for a DDR InterfaceHarry Wang, Wessam El-Naji, and Kenneth Bakalar2012United StatesPresentationy2012united-statespresentation
Experience with OVM-Based Mixed-Signal Verification of the Impedance Calibration Block for a DDR InterfaceHarry Wang, Wessam El-Naji, and Kenneth Bakalar2012United StatesPapery2012united-statespaper
Experiences in Migrating a Chip-Level Verification Environment from UVM EA to UVM 1.1Ashish Kumar, Dave Stang, Dudyala Sasidhar, S, Manikandan, and Thirumalai Srishan2012United StatesPapery2012united-statespaper
Experiences in Migrating a Chip-Level Verification Environment from UVM-EA to UVM-1.xAshish Kumar, S Manikandan, Sasidhar Dudyala, Srishan Thirumalai, and Dave Stang2012United StatesPresentationy2012united-statespresentation
Experiencing Checkers for a Cache Controller DesignBen Cohen, Srinivasan Venkataramanan, Ajeetha Kumari, and Lisa Piper2010United StatesPapery2010united-statespaper
Exploring Machine Learning to assign debug priorities to improve the design qualityVyasa Sai, Vaibhav Gupta, Fylur Rahman2023United StatesPresentationy2023united-statespresentation
Exploring Machine Learning to assign debug priorities to improve the design qualityVyasa Sai, Vaibhav Gupta and Fylur Rahman Sathakathulla2023United StatesPapery2023united-statespaper
Exquisite modeling of verification IP: Challenges and RecommendationsAnuradha Tambad, Subashini Rajan, Shivani Upasani, Prashanth Srinivasa, Imran Ali, and Adiel Khan2012United StatesPapery2012united-statespaper
Exquisite Modeling of VIPAdiel Khan, Anuradha Tambad, Imran Ali, Prashanth Srinivasa, Shivani Upasani, and Subashini Rajan2012United StatesPresentationy2012united-statespresentation
Extendable Messaging Techniques for Debugging and Analyzing UVM Testbench Structure and Transaction FlowJun Zhao, Bindesh Patel, and Rex Chen2013United StatesPresentationy2013united-statespresentation
Extendable Messaging Techniques for Debugging and Analyzing UVM Testbench Structure and Transaction FlowJun Zhao, Bindesh Patel, and Rex Chen2013United StatesPapery2013united-statespaper
Extending a Traditional VIP to Solve PHY Verification ChallengesAmit Tanwar, Manoj Manu2015IndiaPresentationy2015indiapresentation
Extending functionality of UVM components by using Visitor design patternDarko M. Tomušilović2018EuropePapery2018europepaper
Extending functionality of UVM components by using Visitor design patternDarko M. Tomušilović2018EuropePresentationy2018europepresentation
Extending Proven Digital Verification Techniques for Mixed-Signal SoCswith VCS AMSHelene Thibieroz, Adiel Khan, Pierluigi Daglio, and Gernot Koch2014EuropePresentationy2014europepresentation
Extending the UVM register model generation and integration flow to support user-defined scenarios and register mask valuesShuang Han, Kees van Kaam, and Martin Barnasconi2017EuropePresentationy2017europepresentation
Extending the UVM register model generation and integration flow to support user-defined scenarios and register mask valuesShuang Han, Kees van Kaam, and Martin Barnasconi2017EuropePapery2017europepaper
Extending UVM Register Abstraction Layer for Verification of Register Access via Serial Bus InterfaceDarko M. Tomušilović2016EuropePresentationy2016europepresentation
Extending UVM Register Abstraction Layer for Verification of Register Access via Serial Bus InterfaceDarko Tomušilović2016EuropePapery2016europepaper
Extension of the Power-Aware IP Reuse Approach to ESLAntonio Genov, Loic Leconte, Fran ç ois Verdier2022United StatesPresentationy2022united-statespresentation
Fabric VerificationGalen Blake and Steve Chappell2012United StatesPresentationy2012united-statespresentation
Facilitating Transactions in System Verilog and VHDLRich Edelman2020EuropePresentationy2020europepresentation
Facilitating Transactions in VHDL and SystemVerilogRich Edelman2020EuropePapery2020europepaper
Failure Triage: The Neglected Debugging ProblemSean Safarpour, Evean Qin, Yu-Shen Yang, and Brian Keng2012United StatesPapery2012united-statespaper
Failure Triage: The Neglected Debugging ProblemSean Safarpour, Evean Qin, Yu-Sheng Yang, and Brian Keng2012United StatesPresentationy2012united-statespresentation
Fast and Furious Quick Innovation from Idea to Real PrototypeSimone Fontanesi, Gaetano Formato, Thomas Arndt, and Andrea Monterastelli2018EuropePapery2018europepaper
Fast and FuriousQuick Innovation from Idea to Real PrototySimone Fontanesi, Gaetano Formato, Thomas Arndt, and Andrea Monterastelli2018EuropePresentationy2018europepresentation
Fast Congestion Planning and Floorplan QoR AssessmentHarn Hua Ng, Kirvy Teo2022JapanPresentationy2022japanpresentation
Fast Convergence Modular Advanced Smart-Hybrid Testbench (FCMAST) to automate and expedite SoC gate level simulations closureHarshal Kothari, Eldin Ben Jacob, Chandrachud Murali, Sriram Kazhiyur Sounderrajan, Somasunder Kattepura Sreenath2023IndiaPresentationy2023indiapresentation
Fast Convergence Modular Advanced Smart-Hybrid Testbench (FCMAST) to automate and expedite SoC gate level simulations closureHarshal Kothari, Eldin Ben Jacob, Chandrachud Murali, Sriram Kazhiyur Sounderrajan, Somasunder Kattepura Sreenath2023IndiaPapery2023indiapaper
Fast forward Software Development using Advanced Hybrid TechnologiesXiaowei Pan2021ChinaPresentationy2021chinapresentation
Fast Track Formal Verification SignoffMandar Munishwar, Xiaolin Chen, Arunava Saha, and Sandeep Jana2018United StatesPostery2018united-statesposter
Fast Track Formal Verification SignoffMandar Munishwar, Xiaolin Chen, Arunava Saha, and Sandeep Jana2018United StatesPapery2018united-statespaper
Fast Track RISC-V System Validation Using Hardware Assisted Verification PlatformsPrasad Kadookar, Mohan Singh2023IndiaPresentationy2023indiapresentation
Fast, Parallel RISC-V Simulation for Rapid Software VerificationLukas Jünger, Jan Henrik Weinstock, Rainer Leupers2022EuropePresentationy2022europepresentation
Faster Elaborations with Cloud StorageShobhit Shukla, Amit Kumar2023IndiaPostery2023indiaposter
Fault Campaign Framework for FuSa Verification of ISO 26262 Compliant Automotive ProductsPrashantkumar Ravindr, Mangesh Pande, and Vinay Rawat2021IndiaPresentationy2021indiapresentation
Fault Campaign Framework for FuSa Verification of ISO 26262 Compliant Automotive ProductsPrashantkumar Ravindra, Mangesh Pande, and Vinay Rawat2021IndiaPostery2021indiaposter
Fault Effect Propagation using Verilog A for Analog Test CoverageAishwarya Prabhakaran, Ahmed Sokar, and Jaafar Mejri2018EuropePresentationy2018europepresentation
Fault Effect Propagation using Verilog-A for Analog Test CoverageAishwarya Prabhakaran, Ahmed Sokar and Jaafar Mejri2018EuropePapery2018europepaper
Fault Injection Analysis for Automotive Safety and SecuritySesha Sai Kumar C V, Ayman Mouallem, Jamil Mazzawi2022EuropePresentationy2022europepresentation
Fault Injection Analysis for Automotive Safety and SecuritySesha Sai Kumar C.V., Jamil Mazzawi, Ayman Mouallem 2022EuropePapery2022europepaper
Fault Injection Made Easy Unified Formal Verification of Normal and Safety FunctionsSergio Marchese, Jörg Grosse, Ashish Darbari2017IndiaPresentationy2017indiapresentation
Fault Injection Strategy to Validate ASIL-D Requirements of BMS ComponentsPraneeth Uddagiri, Veera Satya Sai Gavirni, Prashantkumar Ravindra2022IndiaPresentationy2022indiapresentation
Fault Injection Strategy to Validate ASIL-D Requirements of BMS ComponentsPraneeth Uddagiri, Veera Satya Sai Gavirni, Prashantkumar Ravindra2022IndiaPapery2022indiapaper
Fault Proof: Using Formal Techniques for Safety Verification and Fault AnalysisAdrian Traskov, Thorsten Ehrenberg, Sacha Loitz, Abdelouahab Ayari, Avidan Efody, and Joseph Hupcey III2016EuropePresentationy2016europepresentation
Fault Proof: Using Formal Techniques for Safety Verification and Fault AnalysisAdrian Traskov,Thorsten Ehrenberg, Sacha Loitz, Abdelouahab Ayari, Avidan Efody, and Joseph Hupcey III2016EuropePapery2016europepaper
Fault-Effect Analysis on Multiple Abstraction Levels in Hardware ModelingB-A. Tabacaru, M. Chaari, W. Ecker, T. Kruse, and C. Novello2016United StatesPresentationy2016united-statespresentation
Fault-Effect Analysis on Multiple Abstraction Levels in Hardware ModelingBogdan-Andrei Tabacaru, Moomen Chaari, Wolfgang Ecker, Thomas Kruse, and Cristiano Novello2016United StatesPapery2016united-statespaper
Filtering noise in RDC analysis by clockoff specificationAnupam Saxena, Inayat Ali, SulabhKumar Khare, and Atul Sharma2021IndiaPostery2021indiaposter
Filtering noise in RDC analysis by clockoff specificationAnupam Saxena, Inayat Ali, Sulabh Kumar Khare and Atul Sharma2021IndiaPresentationy2021indiapresentation
Finding a Needle in a Haystack: A Novel Log Analysis Method with Test Clustering in Distributed SystemJin Choi2022United StatesPresentationy2022united-statespresentation
Finding a Needle in a Haystack: A Novel Log Analysis Method with Test Clustering in Distributed SystemsJin Choi, Sangwoo Noh, Sooncheol Hong, Hanna Jang, Seonghee Yim, and Seonil Brian Choi2022United StatesPapery2022united-statespaper
Finding Hidden Bugs In Deep Cycles: Advanced Debug Methodologies for Software-first System ValidationYoucef Qassid and Andy Jolley2022United StatesPresentationy2022united-statespresentation
Finding the Last Bug in a CNN DMA UnitBruno Lavigueur, Dean Ke, Eric Rao, Fergus Casey, Achin Mittal, Pallavi Kumari, Michael Thompson, and Roger Sabbagh2020United StatesPapery2020united-statespaper
Finding the Last Bug in a CNN DMA UnitBruno Lavigueur, Dean Ke, Eric Rao, Fergus Casey, Achin Mittal, Pallavi Kumari, Michael Thompson, and Roger Sabbagh2020United StatesPresentationy2020united-statespresentation
Firmware Firmly under Control: New Optimization and Verification Techniques for Application Specific Electronic SystemDaniel Große, Manuel Strobel, Daniel Mueller-Gritschneder, Vladimir Herdt, and Tobias Ludwig2018EuropePresentationy2018europepresentation
First Reports from the UVM Trenches: User-friendly, Versatile and Malleable, or just the Emperor’s New Methodology?Jonathan Bromley2011United StatesPapery2011united-statespaper
Five Ways to Make Your Specman Environment More Reusable and ConfigurableStefan Sljukic, Nikola Knezevic, Filip Dojcinovic2021EuropePapery2021europepaper
Flattening the UVM Learning Curve Automated Solutions for DSP Filter VerificationAvinash Lakshminarayana, Eric Jackowski, Eric Cigan, and Mark Lin2022United StatesPapery2022united-statespaper
Flattening the UVM Learning Curve: Automated Solutions for DSP Filter VerificationAvinash Lakshminarayana, Eric Jackowski,Eric Cigan, Mark Lin2022United StatesPresentationy2022united-statespresentation
Flexible Checker: A one-stop shop for all your checkers and a methodology for elastic scoreboardingSaad Zahid, Chandra Veedhi, and Sumit Dhamanwala2019United StatesPostery2019united-statesposter
Flexible Indirect Registers with UVMUwe Simm2017United StatesPresentationy2017united-statespresentation
Flexible Indirect Registers With UVMUwe Simm2017EuropePresentationy2017europepresentation
Flexible Indirect Registers With UVMUwe Simm2017EuropePapery2017europepaper
Fnob: Command Line-Dynamic Random GeneratorHaoxiang Hu, Tuo Wang2022United StatesPresentationy2022united-statespresentation
Fnob: Command Line-Dynamic Random GeneratorHaoxiang Hu and Tuo Wang2022United StatesPapery2022united-statespaper
Formal Architectural Specification and Verification of A Complex SOCShahid Ikram, Isam Akkawi, David Asher, and Jim Ellis2018United StatesPresentationy2018united-statespresentation
FORMAL ARCHITECUTRAL SPECIFICATION AND VERIFICATION OF A COMPLEX SOCShahid Ikram, Isam Akkawi, David Asher, and Jim Ellis2018United StatesPapery2018united-statespaper
Formal Assisted Fault Campaign for ISO26262 CertificationNitin Ahuja, Mayank Agarwal, Sandeep Jana2019IndiaPapery2019indiapaper
Formal Bug Hunting with “River Fishing” TechniquesMark Eslinger and Ping Yeung2019United StatesPresentationy2019united-statespresentation
Formal Bug Hunting with “River Fishing” TechniquesMark Eslinger and Ping Yeung2019United StatesPapery2019united-statespaper
Formal Fault Propagation Analysis that Scales to Modern Automotive SoCsSergio Marchese2017EuropePresentationy2017europepresentation
Formal Fault Propagation Analysis that Scales to Modern Automotive SoCsSergio Marchese and Jörg Grosse2017EuropePapery2017europepaper
Formal For Adjacencies Expanding the Scope of Formal VerificationM Achutha KiranKumar V, Bindumadhava Ss., Vichal Verma, Savitha Manojna2019IndiaPresentationy2019indiapresentation
Formal for Adjacencies Expanding the Scope of Formal VerificationM Achutha KiranKumar V, Bindumadhava Ss., Vichal Verma, Savitha Manojna2019IndiaPapery2019indiapaper
Formal Methods to Verify the Power Manager for an Embedded Multiprocessor ClusterKesava R. Talu2010United StatesPapery2010united-statespaper
Formal Proof for GPU Resource ManagementJia Zhu, Chuanqin Yan, and Nigel Wang2017United StatesPapery2017united-statespaper
Formal Proof for GPU Resource ManagementJia Zhu, Chuanqin Yan, and Nigel Wang2017United StatesPresentationy2017united-statespresentation
Formal Property Verification of the Digital Section of an Ultra-Low Current Digitizer ASICKatharina Ceesay-Seitz, Sarath Kundumattathil Mohanan, Hamza Boukabache, Daniel Perrin2021EuropePapery2021europepaper
Formal Sign-off Methodology for IP BlocksAnna Chang, Chia-An Hsu2023TaiwanPapery2023taiwanpaper
Formal Verification + CIA Triad: Winning Formula for Hardware SecurityVedprakash Mishra, Anshul Jain2023IndiaPresentationy2023indiapresentation
Formal Verification + CIA Triad: Winning Formula for Hardware SecurityVedprakash Mishra, Anshul Jain2023IndiaPapery2023indiapaper
Formal Verification Accelerating Coherent Bridge IP Development and Fast Forward DVPulicharla Ravindrareddy, Chayan Pathak, Venkatesh Chepuri, Nitin Neralkar, Sourabh Bhattacharjee, Piyush Upadhyay, Madhusudhan Koothapaakkam2023IndiaPostery2023indiaposter
Formal Verification BootcampMike Bartley2019United StatesPresentationy2019united-statespresentation
Formal Verification by The Book: Error Detection and Correction CodesK. Devarajegowda, V. Hiltl, T. Rabenalt, D. Stoffel, W. Kunz, and W. Ecker2020United StatesPresentationy2020united-statespresentation
Formal Verification Experiences: Silicon Bug Hunt with “Deep Sea Fishing”Mark Handover, Abdelouahab Ayari and Ping Yeung2020EuropePapery2020europepaper
Formal Verification Experiences: Silicon Bug Hunt with “Deep Sea Fishing”Ping Yeung, Mark Handover, and Abdelouahab Ayari2020EuropePoster, Presentationy2020europeposter presentation
Formal Verification Experiences: Spiral Refinement Methodology for Silicon Bug HuntPing Yeung, Mark Eslinger, Jin Hou2021United StatesPapery2021united-statespaper
Formal Verification in the Real WorldJonathan Bromley and Jason Sprott2018United StatesPresentationy2018united-statespresentation
Formal Verification of a Highly Configurable DDR Controller IPSumit Neb, Chirag Agarwal, Deepak K. Gupta, and Roger Sabbagh2018EuropePapery2018europepaper
Formal Verification of Connections at SoC-levelPenny Yang, Prasun Das, Yuya Kao, and Mingchu Kuo2018United StatesPresentationy2018united-statespresentation
Formal Verification of Connections at SoC-levelPenny Yang, Prasun Das, Yuya Kao, and Mingchu Kuo2018United StatesPapery2018united-statespaper
FORMAL VERIFICATION OF FLOATING-POINT HARDWARE WITH ASSERTION-BASED VIPRavi Ram, Adam Elkins, Adnan Pratama, Sasa Stamenkovic, Sven Beyer, and Sergio Marchese2018United StatesPapery2018united-statespaper
Formal Verification of Floating-Point Hardware with Assertion-Based VIPRavi Ram, Adam Elkins, Adnan Pratama, Sasa Stamenkovic, Sven Beyer, and Sergio Marchese2018United StatesPresentationy2018united-statespresentation
Formal verification of low-power RISC-V processorsAshish Darbari2019IndiaPapery2019indiapaper
Formal Verification of Macro-op Cache for Arm Cortex-A77, and its Successor CPUVaibhav Agrawal2020United StatesPresentationy2020united-statespresentation
Formal verification of Macro-op cache for Arm Cortex-A77, and its successor CPUVaibhav Agrawal2020United StatesPapery2020united-statespaper
Formal Verification of Silicon for Software Defined NetworkingSaurabh Shrivastava, Keqin Han, Anh Tran, Chirag Agarwal, Ankit Saxena, Achin Mittal, Anshul Jain, and Roger Sabbagh2018United StatesPapery2018united-statespaper
Formal Verification on Deep Learning Instructions of GPUJian (Jeffrey) Wang and Jia Zhu2018United StatesPapery2018united-statespaper
Formal Verification Tutorial Breaking Through the Knowledge BarrierSean Safarpour, Iain Singleton, Shaun Feng, Syed Suhaib, and Mandar Munishwar2018United StatesPresentationy2018united-statespresentation
Formal Verificationin the Real WorldJonathan Bromley and Jason Sprott2017EuropePresentationy2017europepresentation
Formalize the Cache: Formal Verification Techniques to Verify Different Cache ConfigurationsSudhanshu Srivastava, Rupali Tewari, Aman Vyas, Sachin Kumawat2023IndiaPostery2023indiaposter
FPGA Debug Using Configuration ReadbackMike Dini 2015EuropePresentationy2015europepresentation
FPGA Implementation Validation and DebugRohit Goel, Rakesh Jain, Aman Rana, Ankit Goel2015IndiaPresentationy2015indiapresentation
FPGA-based Clock Domain Crossing Validation for Safety-Critical DesignsAlexander Gnusin2019United StatesPresentationy2019united-statespresentation
FPGA-based Clock Domain Crossing Validation for Safety-Critical DesignsAlexander Gnusin2019United StatesPapery2019united-statespaper
Framework for Creating Performance Model of AI Algorithms for Early Architecture ExplorationAmit Dudeja, Amit Tara, Amit Garg, Tushar Jain2019IndiaPresentationy2019indiapresentation
Framework for Creating Performance Model of AI Algorithms for Early Architecture ExplorationAmit Dudeja, Amit Tara, Amit Garg, Tushar Jain2019IndiaPapery2019indiapaper
Framework For Exploring Interconnect Level Cache CoherencyParvinder Pal Singh2017IndiaPresentationy2017indiapresentation
Framework for Holistic Assessment of Potential Performance Gains with Different Simulation Acceleration ModesParag Goel, Adiel Khan, Amit Sharma2015IndiaPresentationy2015indiapresentation
Free Yourself from the Tyranny of Power State Tables with Incrementally Refinable UPFProgyna Khondkar, Ping Yeung, Gabriel Chidolue, Joe Hupcey, Rick Koster, and Madhur Bhargava2017United StatesPapery2017united-statespaper
Free Yourself from the Tyranny of Power State Tables with Incrementally Refinable UPFProgyna Khondkar, Ping Yeung, Gabriel Chidolue, Joe Hupcey, Rick Koster, and Madhur Bhargava2017United StatesPostery2017united-statesposter
From Device Trees to Virtual PrototypesSakshi Arora, Vikrant Kamboj, Preeti Sharma2019IndiaPapery2019indiapaper
From Spec to Verification Closure: a case study of applying UVM-MS for first pass success to a complex Mixed-Signal SoC designNeyaz Khan and Yaron Kashai2012United StatesPapery2012united-statespaper
From Spec to Verification Closure: A case study of applying UVM-MS for first pass success to a complex MS-SoC designNeyaz Khan and Yaron Kashai2012United StatesPresentationy2012united-statespresentation
From the Magician’s Hat: Developing a Multi-Methodology PCIe Gen2 VIPAmit Sharma, Abhisek Verma, Varun S., and Anoop Kumar2011United StatesPapery2011united-statespaper
fsim_logic – A VHDL type for testing of FLYTRAPJoanne E. DeGroat, Ph.D.2013United StatesPapery2013united-statespaper
fsim_logic – A VHDL type for testing of FLYTRAPJoanne E. DeGroat, Ph.D.2013United StatesPresentationy2013united-statespresentation
FSM Minesweeper – Scalable Formal Verification Methodology for Detecting Hangs in Interacting FSMsAnshul Jain, Achutha KiranKumar V M, Harbaksh Gupta, Shashwat Singh2023United StatesPapery2023united-statespaper
FSM Minesweeper – Scalable FV Methodology for Detecting Hangs in Interacting FSMsAnshul Jain, Achutha KiranKumar V M, Harbaksh Gupta, Shashwat Singh2023United StatesPresentationy2023united-statespresentation
Full Flow Clock Domain Crossing – From Source To SiMark Litterick2016United StatesPresentationy2016united-statespresentation
Full Flow Clock Domain Crossing – From Source to SiM. Litterick2016United StatesPapery2016united-statespaper
Fully Automated Functional Coverage ClosureManohar Kodi, Sagar Sudam Patil, and Ranjith Nair2019United StatesPresentationy2019united-statespresentation
Fully Automated Functional Coverage ClosureManohar Kodi, Sagar Sudam Patil, and Ranjith Nair2019United StatesPapery2019united-statespaper
Fully Hierarchical CDC Analysis Using Comprehensive CDC Meta DatabaseYoungchan Lee, Youngsik Kim, Soenil Brian Choi, and Vikas Sachdeva2019United StatesPapery2019united-statespaper
Fully Hierarchical CDC Analysis Using Comprehensive CDC Meta-DatabaseYoungchan Lee, Youngsik Kim, and Seonil Brian Choi2019United StatesPresentationy2019united-statespresentation
Fun with UVM Sequences – Coding and DebuggingRich Edelman2019United StatesPapery2019united-statespaper
Fun with UVM Sequences Coding and DebuggingRich Edelman2019United StatesPresentationy2019united-statespresentation
Functional Coverage – without SystemVerilog!Alan Fitch and Doug Smith2010United StatesPapery2010united-statespaper
Functional Coverage Collection for Analog Circuits – Enabling Seamless Collaboration between Design and VerificationZ. Ye, H. Lin and A. M. Khan2016United StatesPapery2016united-statespaper
Functional Coverage Collection for Analog Circuits –Enabling Seamless Collaboration between Design and VerificationZhipeng Ye, Honghuang Lin and Asad Khan2016United StatesPresentationy2016united-statespresentation
Functional Coverage GeneratorMunjal Mistry2017IndiaPresentationy2017indiapresentation
Functional Coverage of Register Access via Serial Bus Interface using UVMD. M. Tomušilović2017United StatesPapery2017united-statespaper
FUNCTIONAL COVERAGE OF REGISTER ACCESS VIA SERIAL BUS INTERFACE USING UVMDarko M. Tomušilovic2017United StatesPostery2017united-statesposter
Functional coverage-driven verification with SystemC on multiple level of abstractionChristoph Kuznik and Wolfgang M¨uller2011United StatesPapery2011united-statespaper
Functional Instruction Set Simulator (ISS) of a Neural Network Accelerator IP with native “brain float16” formatDebajyoti Mukherjee, Arpita Sahu, Arathy B S, Saranga P Pogula2023United StatesPapery2023united-statespaper
Functional safety architecture that suppresses increases in circuit size and power consumption in ISO26262 compliant LSI development slidesToshiyuki Hamatani2022JapanPresentationy2022japanpresentation
Functional Safety Verification For ISO 26262Kevin Rich, Shekhar Mahatme, and Meirav Nitzan2018United StatesPresentationy2018united-statespresentation
Functional Safety Verification for ISO 26262 – Compliant Automotive DesignsJM Forey and Werner Kerscher2018EuropePresentationy2018europepresentation
Functional Safety Verification Methodology for ASIL-B Automotive DesignsOnkar Bhuskute2022IndiaPostery2022indiaposter
Functional Safety WG UpdateAlessandra Nardi2022EuropePapery2022europepaper
Functional Verification of CSI2 Rx-PHY using AMS Co-simulationsRatheesh Mekkadan2014IndiaPresentationy2014indiapresentation
Functional Verification of CSI-2 Rx-PHY using AMS Co-simulationsRatheesh Mekkadan2014IndiaPresentationy2014indiapresentation
Functional Verification of CSI-2 Rx-PHY using AMS Co-simulationsRatheesh Mekkadan2014IndiaPapery2014indiapaper
Functional-Coverage Sampling in UVM RAL Use of 2 Obscure MethodsMuneeb Ulla Shariff, Ravi Reddy2019IndiaPapery2019indiapaper
Functional-Coverage Sampling in UVM RAL: Use of 2 Obscure MethodsMuneeb Ulla Shariff, Ravi Reddy2019IndiaPresentationy2019indiapresentation
FV: A Robust Solution for Tackling Design Complexities – A Case Study on In-Band ECCYash Sachin Pawar, Aarti Gupta, Disha Puri 2023IndiaPresentationy2023indiapresentation
FV: A Robust Solution for Tackling Design Complexities – A Case Study on In-Band ECCYash Sachin Pawar, Aarti Gupta, Disha Puri2023IndiaPapery2023indiapaper
Gatelevel Simulations: Continuing Value in Functional SimulationAshok Chandran, Roy Vincent2014IndiaPapery2014indiapaper
Gatelevel Simulations: Continuing Value in Functional SimulationsAshok Chandran, Roy Vincent2014IndiaPostery2014indiaposter
Gathering Memory Hierarchy Statistics in QEMUClément Deschamps, Mark Burton, Eric Jenn and Frédéric Pétrot2019EuropePresentationy2019europepresentation
Gathering Memory Hierarchy Statistics in QEMUClément Deschamps, Mark Burton, Eric Jenn, and Frédéric Pétrot2018EuropePresentationy2018europepresentation
Generating Bus Traffic PatternsJacob Sander Andersen, Lars Viklund and Kenneth Branth2018EuropePapery2018europepaper
Generation of (at least) UVM Register Models from IP Xact Using Model Driven SW TechniquesJacob Sander Andersen2017EuropePresentationy2017europepresentation
Generation of Constraint Random Transactions for Verification of Mixed-Signal BlocksAlexander W. Rath, Sebastian Simon, Volkan Esen, and Wolfgang Ecker2014United StatesPapery2014united-statespaper
Generation of UVM compliant Test Benches for Automotive Systems using IP-XACT with UVM-SystemC and SystemC AMS*Ronan Lucas, Marie-Minerve Louërat, Yao Li, Zhi Wang, Jean-Paul Chaput, François Pêcheux, Ramy Iskander, Martin Barnasconi, and Thilo Vörtler2014EuropePapery2014europepaper
Generation of UVM compliant Test Benches for Automotive Systems using IP-XACT with UVM-SystemC and SystemC AMS*Ronan Lucas and Philippe Cuenot2014EuropePresentationy2014europepresentation
Generic Core-Monitor for Hardware/Software Co-Debugging targeting Emulation PlatformShreya Morgansgate, Johannes Grinschgl, Djones Lettnin2022EuropePapery2022europepaper
Generic High-Level Synthesis Flow from MATLAB/Simulink ModelPetri Solanti, Shusaku Yamamoto2023JapanPapery2023japanpaper
Generic High-Level Synthesis Flow from MATLAB/Simulink ModelPetri Solanti, Shusaku Yamamoto2023JapanPresentationy2023japanpresentation
Generic Programming in SystemVerilogMark Glasser2016United StatesPapery2016united-statespaper
Generic SCSI-Based Host Controller Verification Framework Using SystemVerilogMohamed Rayan, Mohamed Samy, Haytham Ashour, Ashraf Salem2021United StatesPapery2021united-statespaper
Generic Solution for NoC design explorationTushar Garg2021IndiaPostery2021indiaposter
Generic Solution for NoC design explorationTushar Garg and Ranjan Mahajan2021IndiaPresentationy2021indiapresentation
Generic Solution for NoCdesign explorationTushar Garg and Ranjan Mahajan2021IndiaPresentationy2021indiapresentation
Generic Solution for NoCdesign explorationTushar Garg and Ranjan Mahajan2021IndiaPostery2021indiaposter
Generic Testbench/Portable Stimulus/PromotabilityRevati Bothe and Jesvin Johnson2019EuropePresentationy2019europepresentation
Generic Verification Infrastructure around Serial Flash ControllersHarsimran Singh, Snehlata Gutgutia, Chanpreet Singh2015IndiaPresentationy2015indiapresentation
Get Ready for UVM-SystemCMartin Barnasconi, Anupam Bakshi2015IndiaPresentationy2015indiapresentation
Getting Beyond ISA Compliance: Advanced Core/SoC Verification for RISC-V and other BeastsAdnan Hamid2023United StatesPresentationy2023united-statespresentation
Getting Rid of False Errors when Verifying LSI Designs Including Non-DeterminismMatthieu Parizy and Hiroaki Iwashita2011United StatesPapery2011united-statespaper
Git for Hardware DesignersJeffery Scott and Sanjeev Singh2015United StatesPapery2015united-statespaper
GIT for Hardware DesignersJeffery Scott and Sanjeev Singh2015United StatesPostery2015united-statesposter
Global Broadcast with UVM Custom PhasingJeremy Ridgeway, Dolly Mehta2014IndiaPresentationy2014indiapresentation
Global Broadcast with UVM Custom PhasingJeremy Ridgeway and Dolly Mehta2014IndiaPapery2014indiapaper
Go Figure – UVM Configure The Good, The Bad, The DebugRich Edelman and Dirk Hansen2016EuropePresentationy2016europepresentation
Go Figure – UVM Configure The Good, The Bad, The DebugRich Edelman and Dirk Hansen2016EuropePapery2016europepaper
Goal Driven Stimulus Solution Get Yourself Out of the Redundancy TrapRohit Bansal2019IndiaPresentationy2019indiapresentation
Goal Driven Stimulus Solution Get Yourself Out of the Redundancy TrapRohit Bansal2019IndiaPapery2019indiapaper
Golden UPF: Preserving Power Intent From RTL to ImplementationHimanshu Bhatt and Harsh Chilwal2015EuropePresentationy2015europepresentation
Goldilocks and System Performance ModelingRich Edelman and Shashi Bhutada2015United StatesPapery2015united-statespaper
Goldilocks and System Performance Modelling A SystemVerilog Adaptive Rate Control (ARC) Stimulus Generation MethodologyRich Edelman and Shashi Bhutada2015United StatesPostery2015united-statesposter
GoldMine: Automatic Assertion Generation and Coverage Closure in Design ValidationDavid Sheridan, Lingyi Liu, and Shobha Vasudevan2011United StatesPapery2011united-statespaper
Graph-IC VerificationDennis Ramaekers and Grégory Faux2012United StatesPapery2012united-statespaper
Graph-IC VerificationGregory Faux and Dennis Ramaekers2012United StatesPresentationy2012united-statespresentation
GraphCov: RTL Graph Based Test Biasing for Exploring Uncharted Coverage LandscapeDebarshi Chatterjee, Spandan Kachhadia, Chen Luo, Kumar Kushal, Siddhanth Dhodhi2023United StatesPapery2023united-statespaper
GraphCov: RTL Graph Based Test Biasing for Exploring Uncharted Coverage LandscapeDebarshi Chatterjee, Spandan Kachhadia, Chen Luo, Kumar Kushal, Siddhanth Dhodhi2023United StatesPresentationy2023united-statespresentation
Graphical Topology Info Structure for Constrained Random Verification in SoC/Subsystem TestsEvean Qin, Richard Bell, and David Chen2019United StatesPresentationy2019united-statespresentation
Graphical Topology Info Structure for Constrained Random Verification in SoC/Subsystem TestsEvean Qin, Richard Bell, and David Chen2019United StatesPapery2019united-statespaper
Guaranteed Vertical Reuse – C Execution In A UVM EnvironmentRachida El Idrissi and Alain Gonier2013United StatesPresentationy2013united-statespresentation
Guaranteed Vertical Reuse – C Execution In a UVM EnvironmentRachida El Idrissi and Alain Gonier2013United StatesPapery2013united-statespaper
Guiding Functional Verification Regression Analysis Using Machine Learning and Big Data MethodsEman El Mandouh, Laila Maher, Moutaz Ahmed and Amr G. Wassal2018EuropePapery2018europepaper
Handling Asynchronous Reset(s) Testing by building reset-awareness into UVM testbench componentsWei Wei Cheong, Katherine Garden, Ana Sanz Carretero2021EuropePapery2021europepaper
Hardware Acceleration for UVM Based CLTsMohamed Saheel, Rohith M. S., and Andrew Tan2020United StatesPresentationy2020united-statespresentation
Hardware construction with SystemCRoman Popov and Roman Popov2018EuropePapery2018europepaper
Hardware Emulation: ICE vs VirtualLauro Rizzatti2016United StatesPresentationy2016united-statespresentation
Hardware Implementation of Smallscale Parameterized Neural Network Inference EngineVishnu P Bharadwaj, Shruti Narake, Saurabh D Patil2019IndiaPapery2019indiapaper
Hardware Security – Industry Trends, Attacks and SolutionsShashank Kulkarni2022IndiaPresentationy2022indiapresentation
Hardware Software Co-verification in Hybrid QEMU/HDL EnvironmentRadoslaw Nawrot and Krzysztof Szczur2018EuropePresentationy2018europepresentation
Hardware Trojan Design and Detection with Formal Verification to Deep Neural NetworkSi-Han Chen, Yu-Ting Huang, Yi-Chun Kao, Yean-Ru Chen, Shang-Wei Lin, Chia-I Chen2021United StatesPapery2021united-statespaper
Hardware verification through software scheduling for USB using xHCIWasiq Zia, Navneet Jha, and Vipin Chauhan2021IndiaPostery2021indiaposter
Hardware verification through software scheduling for USB using xHCIWasiq Zia, Navneet Jha, and Vipin Chauhan2021IndiaPresentationy2021indiapresentation
Hardware verification through software scheduling for USB using xHCITheWasiq Zia, Navneet Jha, and Vipin Chauhan2021IndiaPostery2021indiaposter
Hardware verification through software scheduling for USB using xHCITheWasiq Zia, Navneet Jha, and Vipin Chauhan2021IndiaPresentationy2021indiapresentation
Hardware/Software Co-Simulation of SPI enabled ASICs and Software Drivers for Fault Injection and Regression TestsElias Kyrlies-Chrysoulidis, Andreas Plange, Thomas Gürtler, Matthias Auerswald, and Josef Schmid2014EuropePapery2014europepaper
Hardware/Software Co-Simulation of SPI enabled ASICs and Software Drivers for Fault Injection and Regression TestsElias Kyrlies-Chrysoulidis, Andreas Plange, Thomas Guertler, Matthias Auerswald, and Josef Schmid2014EuropePostery2014europeposter
Hardware/Software Co-Verification Using Generic Software AdapterVijaya Bhaskar, Krishna Chaitanya, Kirtika Sharma2017IndiaPresentationy2017indiapresentation
Hardware/Software Co-Verification Using Specman and SystemC with TLM PortsHorace Chan2012United StatesPresentationy2012united-statespresentation
Hardware/Software co-verification using Specman and SystemC with TLM portsHorace Chan and Brian Vandegriend2012United StatesPapery2012united-statespaper
Hardware/Software Interface Formats A DiscussionRichard Weber, Jamsheed Agahi, Josh Rensch, Eric Sherk2023United StatesPresentationy2023united-statespresentation
Harnessing SV-RNM Based Modelling and Simulation Methodology for Verifying a Complex PMIC designed for SSD ApplicationsVijay Kumar, Shrikant Pattar, Yaswanth Chebrolu, Vinayak Hegde2022IndiaPresentationy2022indiapresentation
Harnessing SV-RNM Based Modelling and Simulation Methodology for Verifying a Complex PMIC designed for SSD ApplicationsVijay Kumar, Shrikant Pattar, Yaswanth Chebrolu, Vinayak Hegde2022IndiaPapery2022indiapaper
Harnessing the Power of UVM for AMS Verification with XMODELJaeha Kim, Charles Dančak2023United StatesPresentationy2023united-statespresentation
Has The Performance of a Sub-System Been Beaten to DeathSubhash Joshi, Vaddineni Manohar, Sangaiyah Pandithurai2015IndiaPresentationy2015indiapresentation
Heterogeneous Virtual Prototyping for IoTApplicationsPaul Ehrilich, Karsten Einwich, Mark Burton, and Luc Michel2017EuropePresentationy2017europepresentation
Heterogenous Virtual Prototyping for IoT ApplicationsMark Burton, Luc Michel, Paul Ehrlich, and Karsten Einwich2017EuropePapery2017europepaper
Hierarchical UPF Design – The ‘Easy’ WayBrandon Skaggs, Chris Turman, Joe Whitehouse2023United StatesPapery2023united-statespaper
Hierarchical UPF Design – The ‘Easy’ WayBrandon Skaggs, Chris Turman, Joe Whitehouse2023United StatesPresentationy2023united-statespresentation
Hierarchical UPF: Uniform UPF across FE & BEDipankar Narendra Arya, Balaji Vishwanath Krishnamurthy, Aditi Nigam, Tahir Ali2022United StatesPresentationy2022united-statespresentation
Hierarchical UPF: Uniform UPF across FE & BEDipankar Narendra Arya, Balaji Vishwanath Krishnamurthy, Aditi Nigam, and Ali Tahir2022United StatesPapery2022united-statespaper
High Frequency Response Tracking System micro-architectureGopalakrishnan Sridhar, Vadlamuri Venkata Sateesh2019IndiaPresentationy2019indiapresentation
High Frequency Response Tracking System Micro-architectureGopalakrishnan Sridhar, Vadlamuri Venkata Sateesh2019IndiaPapery2019indiapaper
High-Level Synthesis Walks the Talk: Synthesizing a Complete Graphics Processing ApplicationThomas Bollaert2011United StatesPapery2011united-statespaper
High-Performance Mixed-Signal ESL Design of a Magneto Resistive Sensor ApplicationMartin Barnasconi and Sumit Adhikari2016EuropePresentationy2016europepresentation
High-Performance Mixed-Signal ESL Design of a Magneto Resistive Sensor ApplicationMartin Barnasconi and Sumit Adhikari2016EuropePapery2016europepaper
High-Speed Interface IP Validation based on Virtual Emulation PlatformJaehun Lee, Daeseo Cha, and Sungwook Moon2019United StatesPresentationy2019united-statespresentation
High-Speed Interface IP Validation based on Virtual Emulation PlatformJaehun Lee, DaeSeo Cha, and Sungwook Moon2019United StatesPapery2019united-statespaper
Highly Configurable UVM Environment for Parameterized IP VerificationHongLiang Liu and Karl Whiting2015United StatesPapery2015united-statespaper
Highly Configurable UVM Environment for Parameterized IP VerificationHongLiang Liu and Karl Whiting2015United StatesPostery2015united-statesposter
Highly Flexible and Robust System Level HW-SW Power Management Verification based on RISC-VSubramanian Ravichandran, Sekhar Dangudubiyyam2023IndiaPostery2023indiaposter
HISIG- An Efficient Gate Level Simulation Flow for a Hard IP Inside a Soft IPIshwar Ganiger, Vishal Dalal, Johannes Grinschgl2023IndiaPresentationy2023indiapresentation
HISIG- An Efficient Gate Level Simulation Flow for a Hard IP Inside a Soft IPIshwar Ganiger, Vishal Dalal, Johannes Grinschgl2023IndiaPapery2023indiapaper
Holistic Approach to IO Timing Verification Using Portable Stimulus and AssertionsAmitesh Khandelwal and Praveen Kumar2018United StatesPostery2018united-statesposter
Holistic Approach to IO Timing Verification Using Portable Stimulus and AssertionsAmitesh Khandelwal and Praveen Kumar2018United StatesPapery2018united-statespaper
Holistic Automated Code Generation: No Headache with Last-Minute ChangesKlaus Strohmayer and Norbert Pramstaller2012United StatesPapery2012united-statespaper
Holistic Automated Code Generation: No Headache with Last-Minute ChangesKlaus Strohmayer and Norbert Pramstaller2012United StatesPresentationy2012united-statespresentation
Holistic Verification of Bus Health Monitor in Automotive SoC using BHMVC and ParaHunterGaurav Kumar Yadav, Abhisek Hota, Prashantkumar Sonavane2023JapanPresentationy2023japanpresentation
Holistic Verification of Bus Health Monitor in Automotive SoC using BHMVC and ParaHunterGaurav Kumar Yadav, Abhisek Hota, Prashantkumar Shukranath Sonavane, Garima Srivastava2023IndiaPresentationy2023indiapresentation
Holistic Verification of Bus Health Monitor in Automotive SoC using BHMVC and ParaHunterGaurav Kumar Yadav, Abhisek Hota, Prashantkumar Shukranath Sonavane, Garima Srivastava2023IndiaPapery2023indiapaper
Hopscotch: A Scalable Flow-Graph Based Approach to Formally Specify and Verify Memory-Tagged Store Execution in Arm CPUsAbhinav Sethi, Madhu Iyer, Sai Komaravelli, and Vikram Khosa2022United StatesPapery2022united-statespaper
Hopscotch: A Scalable Flow-Graph Based Approach to Verify CPU Store ExecutionAbhinav Sethi, Madhu Iyer, Sai Komaravelli, and Vikram Khosa2022United StatesPresentationy2022united-statespresentation
How creativity kills reuse – A modern take on UVM/SV TB architectureAndrei Vintila, Sergiu Duda2022EuropePapery2022europepaper
How creativity kills reuse – A modern take on UVM/SV TB architecturesAndrei Vintila, Sergiu Duda2022EuropePresentationy2022europepresentation
How Do You Verify Your Verification Components?Josh Rensch and Neil Johnson2016United StatesPapery2016united-statespaper
How Far Can You Take UVM Code Generation and Why Would You Want To?John Aynsley2016EuropePapery2016europepaper
How Far Can You Take UVM Code Generation and Why Would You Want To?John Aynsley2016United StatesPapery2016united-statespaper
How Far Can You Take UVM Code Generation and Why Would You Want To?John Aynsley2016EuropePresentationy2016europepresentation
How Far Can You Take UVM Code Generation and Why Would You Want To?John Aynsley2016United StatesPresentationy2016united-statespresentation
How HLS and SystemC is Delivering on its Promise of Design and Verification ProductivityStuart Swan, Mike Meredith, Matthew Bone, and Rangharajan Venkatesan2020United StatesPresentationy2020united-statespresentation
How I Learned to Stop Worrying and Love Benchmarking Functional Verification!Mike Bartley2012United StatesPresentationy2012united-statespresentation
How I Learned to Stop Worrying and Love Benchmarking Functional Verification!Mike Bartley and Mike Benjamin2012United StatesPapery2012united-statespaper
How rich descriptions enable early detection of hookup issuesPeter Birch, Thomas Brown2022EuropePresentationy2022europepresentation
How the Right Mindset Increases Quality in RISC-V VerificationPhilippe Luc, Salaheddin Hetalani, Nicolae Tusinschi2022EuropePapery2022europepaper
How the Right Mindset Increases Quality in RISC-V VerificationPhilippe Luc, Salaheddin Hetalani2022EuropePresentationy2022europepresentation
How to achieve verification closure of configurable code by combining static analysis and dynamic testingAntonello Celano, Alexandre Langenieux2022EuropePresentationy2022europepresentation
How to achieve verification closure of configurable code by combining static analysis and dynamic testingAntonello Celano, Alexandre Langenieux2022EuropePapery2022europepaper
How to Avoid the Pitfalls of Mixing Formal and Simulation CoverageMark Eslinger, Joseph V Hupcey III, and Nicolae Tusinschi2022United StatesPresentationy2022united-statespresentation
How to Avoid the Pitfalls of Mixing Formal and Simulation CoverageMark Eslinger, Joseph V Hupcey III, and Nicolae Tusinschi2022United StatesPapery2022united-statespaper
How to Create a Complex Testbench in a Couple of HoursTom Fitzpatrick and Graeme Jessiman2017EuropePresentationy2017europepresentation
How to Create Reusable Portable Testing and Stimulus Standard (PSS) Verification IPSharon Rosenberg2019United StatesPostery2019united-statesposter
How to Kill 4 Birds with 1 Stone: Using Formal Verification to Validate Legal Configurations, Find Design Bugs, and Improve Testbench and Software SpecificationsSaurabh Shrivastava, Kavita Dangi, Darrow Chu, and Mukesh Sharma2013United StatesPapery2013united-statespaper
How to Kill 4 Birds with 1 Stone: Using Formal Verification to Validate Legal Configurations, Find Design Bugs, and Improve Testbench and Software SpecificationsSaurabh Shrivastava, Kavita Dangi, Darrow Chu, and Mukesh Sharma2013United StatesPresentationy2013united-statespresentation
How to make debug more efficient in day-to-day life using Verisium DebugKiran Kumar Indrakanti, Sai Asrith Tabdil2023IndiaPostery2023indiaposter
How to Overcome Editor Envy: Why Can’t My Editor Do That?Dillan Mills, Chuck McClish2021United StatesPapery2021united-statespaper
How to overcome the hurdle of customizing RISC-V with formalPascal Gouédo, Seiya Nakagawa, Nicolae Tusinschi, Salaheddin Hetalani2023JapanPresentationy2023japanpresentation
How to Reuse Sequences with the UVM-ML Open Architecture libraryHannes Fröhlich and Kishore Sur2014IndiaPostery2014indiaposter
How to Stay Out of the News with ISO26262-Compliant VerificationCharles Battikha and Doug Smith2018United StatesPresentationy2018united-statespresentation
How to Succeed Against Increasing Pressure: Automated Techniques for Unburdening Verification EngineersJames Pascoe and Steve Hobbs2013United StatesPresentationy2013united-statespresentation
How to Succeed Against Increasing Pressure: Automated Techniques for Unburdening Verification EngineersJames Pascoe, Pierre Kuhn, and Steve Hobbs2013United StatesPapery2013united-statespaper
How to test the whole firmware/software when the RTL can’t fit the emulatorHorace Chan and Byron Watt2019United StatesPresentationy2019united-statespresentation
How to test the whole firmware/software when the RTL can’t fit the emulatorHorace Chan and Byron Watt2019United StatesPapery2019united-statespaper
How to Use Formal Analysis to Prevent DeadlocksAbdelouahab Ayari, Mark Eslinger and Joe Hupcey III2020EuropePresentationy2020europepresentation
How to Verify Complex FPGA Designs for FreeSebastian Dreßler, Nikos Anastasiadis, and Thomas Richter2016EuropePresentationy2016europepresentation
How to Verify Complex FPGA Designs for FreeSebastian Dreßler, Nikos Anastasiadis, and Thomas Richter2016EuropePapery2016europepaper
How To Verify Encoder And Decoder Designs Using Formal VerificationJin Hou2020EuropePresentationy2020europepresentation
How To Verify Encoder And Decoder Designs Using Formal VerificationJin Hou2020EuropePapery2020europepaper
How UPF 3.1 Reduces the Complexities of Reusing PA MacrosMadhusudhana Reddy Lebaka, Abraham Guizer, and Progyna Khondkar2020United StatesPostery2020united-statesposter
How UPF 3.1 Reduces the Complexities of Reusing Power Aware MacrosMadhusudhana Reddy Lebaka, Abraham Guizer, and Progyna Khondkar2020United StatesPapery2020united-statespaper
HSI Issues – Fake News or Not? How Hardware/Software Interface Impacts Tape-OutsGary Stringham, Rich Weber, and Jamsheed Agahi2020United StatesPresentationy2020united-statespresentation
Hybrid Approach to Testbench and Software Driven Verification on EmulationDebdutta Bhattacharya and Ayub Khan2018United StatesPapery2018united-statespaper
Hybrid Approach to Testbench and Software Driven Verification on EmulationDebdutta Bhattacharya and Ayub Khan2018United StatesPostery2018united-statesposter
Hybrid Emulation Use CasesSylvain Bayon de Noyer2015IndiaPostery2015indiaposter
Hybrid Emulation: Accelerating Software Driven Verification and DebugIssac P Zacharia and Jitendra Aggarwal2022United StatesPostery2022united-statesposter
Hybrid Emulation: Accelerating Software Driven Verification and DebugIssac P Zacharia and Jitendra Aggarwal2022United StatesPapery2022united-statespaper
Hybrid Emulation: Accelerating Software Driven Verification and DebugIssac P Zacharia and Jitendra Aggarwal2021IndiaPostery2021indiaposter
Hybrid Emulation: Accelerating Software Driven Verification and DebugIssac P Zacharia and Jitendra Aggarwal2021IndiaPresentationy2021indiapresentation
Hybrid Flow: A smart methodolgy to migrate from traditional Low Power MethodologyRohit Kumar Sinha and N. Prashanth2018EuropePapery2018europepaper
Hybrid Flow: A smart methodology to migrate from traditional Low Power MethodologyRohit Kumar Sinha and Prashanth N2018EuropePresentationy2018europepresentation
I created the Verification GapRam Narayan and Tom Symons2015United StatesPresentationy2015united-statespresentation
I created the Verification GapRam Narayan and Tom Symons2015United StatesPapery2015united-statespaper
I’m Still In Love With My X! (but, do I want my X to be an optimist, a pessimist, or eliminated?)Stuart Sutherland2013United StatesPapery2013united-statespaper
I’m Still In Love With My X! (but, do I want my X to be an optimist, a pessimist, or eliminated?)Stuart Sutherland2013United StatesPresentationy2013united-statespresentation
IDeALS for all – Intelligent Detection and Accurate Localization of StallsPallavi Jesrani2020United StatesPapery2020united-statespaper
IDeALS For All – Intelligent Detection and Accurate Localization of StallsPallavi Jesrani2020United StatesPostery2020united-statesposter
Identifying and Overcoming Multi-Die System Verification ChallengesVarun Agrawal2023IndiaPresentationy2023indiapresentation
Identifying unique power scenarios with data mining techniques at full SoC level with real workloadsAmir Attarha2023United StatesPapery2023united-statespaper
Identifying unique power scenarios with data mining techniques at full SoC level with real workloadsAmir Attarha, Pankaj Chauhan, Diwakar Agrawal, Satish-Kumar Agrawal, Gaurav Saharawat2023United StatesPresentationy2023united-statespresentation
IDEs SHOULD BE AVAILABLE TO HARDWARE ENGINEERS TOO!Syed Daniyal Khurram and Horace Chan2018United StatesPresentationy2018united-statespresentation
IDEs Should be Available to Hardware Engineers Too!Syed Daniyal Khurram and Horace Chan2018United StatesPapery2018united-statespaper
IEEE 1800-2009 SystemVerilog: Assertion-based Checker LibrariesEduard Cerny and Dmitry Korchemny2010United StatesPapery2010united-statespaper
IEEE 1800.2 UVM – Changes Useful UVM Tricks & TechniquesClifford E. Cummings2019United StatesPresentationy2019united-statespresentation
IEEE 1801 Assisted Custom IP Development and Low Power Checks Using Cadence Virtuoso Power ManagerDr. Matthias Steffen, Amit Chopra and Amit Chopra2018EuropePapery2018europepaper
IEEE 1801 Assisted Custom IP Development and Low Power Checks Using Cadence Virtuoso Power ManagerMatthias Steffen, Amit Chopra, and Sonal Singh2018EuropePresentationy2018europepresentation
IEEE-Compatible UVM Reference Implementation and Verification ComponentsJustin Refice, Mark Strickland, Mark Peryer, Uwe Simm, and Srivatsa Vasudevan2018United StatesPresentationy2018united-statespresentation
IEEE2804 SHIM: Software-Hardware Interface for Multi-Many-CoreMasato Edahiro2022JapanPresentationy2022japanpresentation
Implementation of a closed loop CDC verification methodologyAndrew Cunningham, Ireneusz Sobanski2014EuropePapery2014europepaper
Implementation of a closed loop CDC verification methodologyAndrew Cunningham2014EuropePresentationy2014europepresentation
Improve Emulator Test Quality By Applying Synthesizable Functional CoverageHoyeon Hwang, Taesung Kim, Sanghyun Park, Yong-Kwan Cho, Dohyung Kim, Wonil Cho, Sanggyu Park2023United StatesPresentationy2023united-statespresentation
Improve emulator test quality by applying synthesizable functional coverageHoyeon Hwang, Taeseong Kim, Sanghyun Park, Yong-Kwan Cho, Dohyung Kim, Wonil Cho, Sanggyu Park2023United StatesPapery2023united-statespaper
Improve the quality of SystemC IPs through coverage-driven random verificationTrung Pham, Huy Phan, Masayuki Masuda2023TaiwanPapery2023taiwanpaper
Improvement of UVM IP Validation using Portable Stimulus (PSS)Robert R Martin, Alan M Curtis, Gopinath Narasimhan, Qingwei Zhou2023United StatesPostery2023united-statesposter
Improvement of UVM Scenario Generation, Control and Reproducibility using Portable Stimulus (PSS) for IP ValidationRobert R. Martin, Alan M. Curtis, Gopinath L. Narasimhan, Qingwei Zhou2023United StatesPapery2023united-statespaper
Improving Constrained Random Testing by Achieving Simulation Verification Goals through Objective Functions, Rewinding and Dynamic Seed ManipulationEldon Nelson M.S. P.E.2017United StatesPresentationy2017united-statespresentation
Improving Constrained Random Testing by Achieving Simulation Verification Goals through Objective Functions, Rewinding and Dynamic Seed ManipulationEldon Nelson M.S. P.E.2017United StatesPapery2017united-statespaper
Improving Debug Productivity using latest AI & ML TechniquesAmod Khandekar, Sundararajan Ananthakrishnan, Amit Verma2023IndiaPresentationy2023indiapresentation
Improving Simulation Performance at SoC/Subsystem Level Using LITE Environment ApproachAvni Patel, Heena Mankad2019IndiaPapery2019indiapaper
Improving Simulation Regression Efficiency using a Machine Learning-based Method in Design VerificationDeepak Narayan Gadde, Sebastian Simon, Djones Lettnin, Thomas Ziller2022EuropePapery2022europepaper
Improving Simulation Regression Efficiency using a Machine Learning-based Method in Design VerificationDeepak Narayan Gadde, Sebastian Simon, Djones Lettnin, Thomas Ziller2022EuropePresentationy2022europepresentation
Improving Software Testing Speed by 100X with SystemC Virtualization in IoT DevicesDavid Barahona, Motaz Thiab, Isael Díaz, Joakim Urdahl, and Milica Orlandic2021United StatesPapery2021united-statespaper
Improving the Confidence Level in Functional Safety Simulation Tools for ISO 26262Ahmet Cagri Bagbaba, Felipe Augusto Da Silva, and Christian Sauer2018EuropePapery2018europepaper
Improving the UVM Register Model: Adding Product Feature based API for Easier Test ProgrammingKrishnan Balakrishnan, Courtney Fricano, and Kaushal Modi2016United StatesPapery2016united-statespaper
Improving the UVM Register Model: Adding Product Feature based API for Easier Test ProgrammingKrishnan Balakrishnan, Courtney Fricano, and Kaushal Modi2016United StatesPostery2016united-statesposter
Improving Verification Predictability and Efficiency Using Big DataDarron K. May2018United StatesPapery2018united-statespaper
Improving Verification Predictability and Efficiency Using Big DataDarron May2018United StatesPostery2018united-statesposter
In pursuit of Faster Register Abstract Layer (RAL) ModelAnmol Rana, Bhagwan Jha, and Harjeet Singh Sanga2019United StatesPostery2019united-statesposter
Incomplete Low-Power Verification Flow & the oncoming Internet of Things (IoT) Tsunami!Neyaz Khan and Kamran Haqqani2016United StatesPapery2016united-statespaper
Increase Productivity with Reflection API in Design VerificationShivayogi V. Kerudi, Vijay Mukund Srivastav, Vani S, Brad Quinton2015IndiaPostery2015indiaposter
Increased Regression Efficiency with Jenkins Continuous IntegrationThomas Ellis2016EuropePapery2016europepaper
Increased Regression Efficiency with Jenkins Continuous Integration Before You Finish Your Morning CoffeeThomas Ellis2017United StatesPapery2017united-statespaper
Increased Regression Efficiency with Jenkins Continuous Integration Before You Finish Your Morning CoffeeThom Ellis2017United StatesPostery2017united-statesposter
Increasing Efficiency and Reuse in Modeling SystemC/TLM IPs Targeting Virtual Prototypes for Software DevelopmentDavid Spieker, Thomas Schuster, Rafael Zuralski, and Christian Sauer2020EuropePapery2020europepaper
Increasing Regression Efficiency with Portable StimulusNiyaz. K. Zubair and Subba Kota Rao Sajja2020United StatesPapery2020united-statespaper
Indago™ Debug Platform Overview 2015IndiaPresentationy2015indiapresentation
Innovative methodologies for analyzing CDC and RDC violations in complex SoC using Automations, formal verification, and Hierarchical CDC modelMaitri Mishra, Dharmendra Kumar2022IndiaPresentationy2022indiapresentation
Innovative Techniques to Solve Complex RDC ChallengesRohit Kumar Sinha2020United StatesPresentationy2020united-statespresentation
Innovative Technological Narratives Leveraging the Idea of Authenticity in a Human BeingAnna M. Ravitzki, Uri Feigin, and Hagai Arbel2017EuropePresentationy2017europepresentation
Innovative Uses of SystemVerilog Bind Statements within Formal VerificationXiushan Feng and Christopher Starr2022United StatesPapery2022united-statespaper
Innovative Uses of SystemVerilog Bind Statements within Formal VerificationXiushan Feng and Christopher Starr2022United StatesPresentationy2022united-statespresentation
Institutionalize a certified ISO26262 safety processM. Rohleder, C. Röttgermann, amd M. Müller2016EuropePresentationy2016europepresentation
Institutionalizing a certified ISO26262 safety process: Experiences and lessons learnedMichael Rohleder, Clemens Röttgermann, and Marcus Müller2016EuropePapery2016europepaper
Integrating a Virtual Platform Framework for Smart DevicesV. Guarnieri, F. Stefanni, F. Fummi, M. Grosso, D. Lena, A. Ciccazzo, G. Gangemi, and S. Rinaudo2015EuropePresentationy2015europepresentation
Integrating Different Types of Models into a Complete Virtual SystemJakob Engblom, Andreas Hedström, Xiuliang Wang, and Håkan Zeffer2016EuropePresentationy2016europepresentation
Integrating Different Types of Models into a Complete Virtual System: The Simics SystemC* LibraryJakob Engblom, Andreas Hedström, Xiuliang Wang, and Håkan Zeffer2016EuropePapery2016europepaper
Integrating L1 & L2 Cache for multi-Core UVM-based extended Low Power Library PackageAvnita Pal, Priyanka Gharat, Sastry Puranapanda2023JapanPapery2023japanpaper
Integrating L1&L2 Cache for multi-Core UVM based extended Low Power Library PackageAvnita Pal, Priyanka Gharat, Sastry Puranapanda2023JapanPresentationy2023japanpresentation
Integrating Parallel SystemC Simulationinto Simics® Virtual PlatformDaniel Mendoza, Ajit Dingankar, ZhongqiCheng and Rainer Doemer2019EuropePresentationy2019europepresentation
Integrating Parallel SystemC Simulationinto Simics® Virtual PlatformDaniel Mendoza, Ajit Dingankar, Zhongqi Cheng and Rainer Doemer2018EuropePresentationy2018europepresentation
Integration of HDL Logic inside SystemVerilog UVM based Verification IPAleksandra Panajotu2020United StatesPostery2020united-statesposter
Integration of HDL Logic inside SystemVerilog UVM based Verification IPAleksandra Panajotu2020United StatesPapery2020united-statespaper
Integration of modern verification methodologies in a TCL test frameworkMatteo De Luigi and Alessandro Ogheri2015EuropePapery2015europepaper
Intelligent Coverage Driven, Modern Verification for VHDL Based Designs in Native VHDL with OSVVMVijay Mukund Srivastav, Anupam Maurya, Prabhat Kumar, Juhi2015IndiaPresentationy2015indiapresentation
Interface Centric UVM Acceleration for Rapid SOC VerificationJiwoong Kim, Yoona Lhim, Hyungjin Park, Hyunsun Ahn, and Seonil Brian Choi2020United StatesPresentationy2020united-statespresentation
Interfacing Python with a Systemverilog Test BenchLakshay Grover and Kaushal Modi2019United StatesPostery2019united-statesposter
INTERPRETING UPF FOR A MIXED-SIGNAL DESIGN UNDER TESTKenneth Bakalar and Eric Jeandeau2014United StatesPapery2014united-statespaper
Interpreting UPF for aMixed‐Signal Design Under Test 2014United StatesPresentationy2014united-statespresentation
Introducing IEEE 1800.2 the Next Step for UVMSrivatsa Vasudevan 2017IndiaPresentationy2017indiapresentation
Introducing UVM-SystemC For a Resilient And Structured ESL ValidationAkhila M2017IndiaPresentationy2017indiapresentation
Introducing your team to an IDES. Dawson and M. Ballance2019United StatesPostery2019united-statesposter
Introduction to Accellera TLM 2.0Aravinda Thimmapuram2015IndiaPresentationy2015indiapresentation
Introduction to Next Generation Verification Language – VlangPuneet Goel and Sumit Adhikari2014EuropePapery2014europepaper
Introduction to Next Generation Verification Language – VlangPuneet Goel and Sumit Adhikari2014EuropePresentationy2014europepresentation
Introduction to the 5 Levels of RISC-V Processor VerificationSimon Davidmann and Lee Moore2022United StatesPresentationy2022united-statespresentation
Introspection Into Systemverilog Without Turning It Inside OutDave Rich2016United StatesPostery2016united-statesposter
INTROSPECTION INTO SYSTEMVERILOG WITHOUT TURNING IT INSIDE OUT.Dave Rich2016United StatesPapery2016united-statespaper
IP Generators – A Better Reuse MethodologyAmanjyot Kaur2021IndiaPresentationy2021indiapresentation
IP Generators -A Better Reuse MethodologyAmanjyot Kaur2021IndiaPostery2021indiaposter
IP Security Assurance Workshop: IntroductionMike Borza, Ambar Sarkar, Adam Sherer, and Brent Sherman (in spirit)2020United StatesPresentationy2020united-statespresentation
IP-Coding Style Variants in a Multi-layer Generator FrameworkZhao Han, Keerthikumara Devarajegowda, Andreas Neumeier, and Wolfgang Ecker2020EuropePoster, Presentationy2020europeposter presentation
IP-Coding Style Variants in a Multi-layer Generator FrameworkZhao Han, Keerthikumara Devarajegowda, Andreas Neumeier and Wolfgang Ecker2020EuropePapery2020europepaper
IP-XACT based SoC Interconnect Verification AutomationYoungRae Cho, YoungSik Kim, and Seonil Brian Choi2018United StatesPapery2018united-statespaper
IP-XACT based SoC Interconnect Verification AutomationYoungRae Cho, YoungSik Kim, and Seonil Brian Choi2018United StatesPresentationy2018united-statespresentation
IP/SoC Design, Co-Verify, Co-Validate, Co-Everything in 45 minutes!Nikita Gulliya, Asif Ahmad, Devender Khari2022IndiaPresentationy2022indiapresentation
IP/SoC Design, Co-Verify, Co-Validate, Co-Everything in 90 minutes!Nikita Gulliya, Neena Chandawale, and Anupam Bakshi2022United StatesPresentationy2022united-statespresentation
Ironic But Effective: How Formal Analysis Can Perfect Your Simulation ConstraintsPenny Yang, Jin Hou, Yuya Kao, Nan-Sheng Huang, Ping Yeung, and Joe Hupcey2017United StatesPresentationy2017united-statespresentation
Ironic But Effective: How Formal Analysis Can Perfect Your Simulation ConstraintsPenny Yang, Jin Hou, Yuya Kao, Nan-Sheng Huang, Ping Yeung, and Joe Hupcey2017United StatesPapery2017united-statespaper
Is It a Software Bug? Is It a Hardware Bug?Horace Chan, Mame Maria Mbaye, and Sim Ang2022United StatesPapery2022united-statespaper
Is It a Software Bug? It Is a Hardware Bug?Horace Chan, Maria Mbaye, and Sim Ang2022United StatesPresentationy2022united-statespresentation
Is Power State Table (PST) Golden?Ankush Bagotra, Neha Bajaj, and Harsha Vardhan2012United StatesPresentationy2012united-statespresentation
Is Power State Table Golden?Harsha Vardhan, Ankush Bagotra, and Neha Bajaj2012United StatesPapery2012united-statespaper
Is Specman Still Relevant? Using UVM-ML to Take Advantage of Multiple Verification LanguagesTimothy Pertuit, Doug Gibson, and David Lacey2018United StatesPapery2018united-statespaper
Is Specman Still Relevant? Using UVM-ML to Take Advantage of Multiple Verification LanguagesTimothy Pertuit, David Lacey, and Doug Gibson2018United StatesPostery2018united-statesposter
Is the simulator behavior wrong for my SystemVerilog code?Weihua Han2017United StatesPapery2017united-statespaper
Is The Simulator Behavior Wrong With My SystemVerilog CodeWeihua Han2017United StatesPresentationy2017united-statespresentation
Is Your Hardware Dependable?DARPA, AMD, Arm Research, and Synopsys2022United StatesPresentationy2022united-statespresentation
Is your Power Aware design really x-aware?Durgesh Prasad and Jitesh Bansal2014United StatesPostery2014united-statesposter
Is your Power Aware design really x-aware?Durgesh Prasad and Jitesh Bansal2014United StatesPapery2014united-statespaper
Is Your System’s Security preserved? Verification of Security IP integrationPredrag Nikolic2023United StatesPresentationy2023united-statespresentation
Is Your System’s Security preserved? Verification of Security IP integrationPredrag Nikolic2023United StatesPapery2023united-statespaper
Is Your Testing N wise or Unwise? Pairwise and N wise Patterns in SystemVerilog for Efficient Test Configuration and StimulusJonathan Bromley and Kevin Johnston2015EuropePresentationy2015europepresentation
Is Your Testing N-wise or Unwise? Pairwise and N-wise Patterns in SystemVerilog for Efficient Test Configuration and StimulusJonathan Bromley and Kevin Johnston2015EuropePapery2015europepaper
ISO 26262 Dependent Failure Analysis Using PSSMoonki Jang2020United StatesPresentationy2020united-statespresentation
ISO 26262 Dependent Failure Analysis using PSSMoonki Jang, Jiwoong Kim, and Dongjoo Kim2020United StatesPapery2020united-statespaper
ISO 26262 Fault Analysis in Safety Mechanisms Considering the impact of residual and latent faults in hardware safety mechanismsJörg Grosse, Mark Hampton, Sergio Marchese, Jörg Koch, Neil Rattray and Alin Zagardan2019EuropePresentationy2019europepresentation
ISO 26262: Better be safe with modelling and simulation on system-levelJoachim Hößler, Sven Johr, Thang Nguyen, Stephan Schulz, and Gert-Jan Tromp2014EuropePapery2014europepaper
ISO 26262: Better be safe with modelling and simulation on system-levelJoachim Hößler, Sven Johr, Thang Nguyen, Stephan Schulz, and Gert-Jan Tromp2014EuropePostery2014europeposter
It Should Just Work! Tips and Tricks for Creating Flexible, Vendor Agnostic Analog Behavioral ModelsChuck McClish2020United StatesPresentationy2020united-statespresentation
It’s Not Too Late to Adopt: The Full Power of UVMKathleen Wittmann2023United StatesPresentationy2023united-statespresentation
It’s Been 24 Hours –Should I Kill My Formal Run?Mark Eslinger, Jin Hou, Joe Hupcey III, and Jeremy Levitt2019United StatesPresentationy2019united-statespresentation
It’s Not Too Late to Adopt: The Full Power of UVMKathleen Wittmann2023United StatesPapery2023united-statespaper
JESD204B Deterministic Latency Verification with UVM Constrained Random ApproachesGirish Nadiger and Ashok Chandran2016United StatesPostery2016united-statesposter
JESD204B Deterministic Latency Verification with UVM Constrained Random ApproachesGirish Nadiger and Ashok Chandran2016United StatesPapery2016united-statespaper
Jump start your RISCV project with OpenHWMike Thompson, Jingliang (Leo) Wang, Steve Richmond, Lee Moore, David McConnell, Greg Tumbush2021United StatesPapery2021united-statespaper
Jump-Start Portable Stimulus Test Creation with SystemVerilog ReuseMatthew Ballance2016United StatesPapery2016united-statespaper
Jump-Start Portable Stimulus Test Creation with SystemVerilog ReuseMatthew Ballance2016United StatesPostery2016united-statesposter
Jump-Start Software-Driven Hardware Verification with a Verification FrameworkMatthew Ballance2015United StatesPapery2015united-statespaper
Jump-Start Software-Driven Hardware Verification with a Verification FrameworkMatthew Ballance2015United StatesPostery2015united-statesposter
Just do it! Who cares if a Structural Analysis tool is using Formal VerificationScott Aron Bloom2018United StatesPresentationy2018united-statespresentation
Keeping Score, Part 1 of 2 – Architectural Considerations for Scoreboard DesignGordon Allan2012United StatesPapery2012united-statespaper
Keeping Up with Chip — the Proposed SystemVerilog 2012 Standard Makes Verifying Ever-increasing Design Complexity More EfficientStuart Sutherland and Tom Fitzpatrick2012United StatesPapery2012united-statespaper
Keeping Up with Chip — the Proposed SystemVerilog 2012 Standard Makes Verifying Ever-increasing Design Complexity More EfficientStuart Sutherland2012United StatesPresentationy2012united-statespresentation
Keeping Your Sequences RelevantNicholas Zicha and Eric Combes2017United StatesPapery2017united-statespaper
Key Gochas in implementing CDC for various Bus ProtocolsNikita Gulliya, Mukesh Kumar Singh, and Abhishek Bora2020United StatesPostery2020united-statesposter
Key Gochas in implementing CDC for various Bus ProtocolsNikita Gulliya, Mukesh Kumar Singh, and Abhishek Bora2020United StatesPapery2020united-statespaper
Keynote: Challenges in Soc Verification for 5G and BeyondAxel Jahnke2022EuropeVideoy2022europevideo
Keynote: Developing the Chip-to-Cloud Architecture for the Most Desirable CarsMagnus Östberg2022EuropeVideoy2022europevideo
Language Agnostic Communication for SystemC TLM Compliant Virtual PrototypesSmurti Khire, Kunal Sharma, Vishal Chovatiya2021EuropePapery2021europepaper
Lay it On Me: Creating Layered ConstraintsBryan Morris2021United StatesPapery2021united-statespaper
Lean Verification Techniques: Executable SystemVerilog UVM Defect Table For SimulationsSteve Burchfiel, Kevin Schott, Kamel Belhous and Paul Ulrich2020EuropePapery2020europepaper
Lean Verification Techniques: Executable SystemVerilog UVM Defect Table For SimulationsKamel Belhous and Steve Bu2020EuropePresentationy2020europepresentation
Leaping Left: Seamless IP to SoC Hand offSwetha Thiagarajan, Rashika Madan, Hiran Morar, and Sangeivi Sivagnanasundaram2022United StatesPresentationy2022united-statespresentation
Leaping Left: Seamless IP to SoC Hand-offSwetha Thiagarajan, Rashika Madan, Hiran Morar, and Sangeivi Sivagnanasundaram2022United StatesPapery2022united-statespaper
Learning From Advanced Hardware Verification for Hardware Dependent SoftwareSimond Davidmann and Duncan Graham2014United StatesPapery2014united-statespaper
Left shift catching of critical low power bugs with Formal VerificationManish Kumar, Madan Kumar, Madhusudhana Lebaka, Srobona Mitra2022IndiaPresentationy2022indiapresentation
Left shift catching of critical low power bugs with Formal VerificationManish Kumar, Madan Kumar, Srobona Mitra, Madhusudhana Lebaka2022IndiaPostery2022indiaposter
Left shift catching of critical low power bugs with Formal VerificationManish Kumar, Madan Kumar, Madhusudhana Lebaka, Srobona Mitra2022IndiaPapery2022indiapaper
Left Shift Mechanism to Mitigate Gate Level Asynchronous Design ChallengesKotha Kavya and Sinha Rohit Kumar2022United StatesPostery2022united-statesposter
Left Shift Mechanism to Mitigate Gate Level Asynchronous Design ChallengesRohit Kumar Sinha and Kavya Kotha2022United StatesPapery2022united-statespaper
Left Shift of Perf Validation Using Hardware-Based AccelerationAbhiram.L.S, Anoop.H.C, Vijayakrishnan Rousseau, Vimpesh Kankariya2017IndiaPresentationy2017indiapresentation
Lessons from the field – IP/SoC integration techniques that workDavid Murray and Sean Boylan2013United StatesPapery2013united-statespaper
Lessons from the field IP/SoC integration techniques that workDavid Murray2013United StatesPresentationy2013united-statespresentation
Let’s be Formal While Talking About Verification Quality: A Novel Approach to Qualify Assertion Based VIPsSachin Scaria, Surinder Sood, and Erik Seligman2018United StatesPapery2018united-statespaper
Let’s DisCOVER Power StatesPankaj Kumar Dwivedi, Amit Srivastava, and Veeresh Vikram Singh2015United StatesPapery2015united-statespaper
Lets be Formal While Talking About Verification Quality: A Novel Approach Of Qualifying Assertion Based IPsSurinder Sood, Sachin Scaria, and Erik Seligman2018United StatesPresentationy2018united-statespresentation
Lets disCOVER Power StatesPankaj Kumar Dwivedi, Amit Srivastava, and Veeresh Vikram Singh2015United StatesPostery2015united-statesposter
Leveraging ESL Approach to Formally Verify Algorithmic ImplementationsM, Achutha KiranKumar V, Bindumadhava S S, Aarti Gupta, Disha Puri2015IndiaPresentationy2015indiapresentation
Leveraging Formal to Verify SoC Register MapAbdul Elaydi and Jose Barandiaran2014United StatesPresentationy2014united-statespresentation
Leveraging Formal to Verify SoC Register MapAbdul Elaydi and Jose Barandiaran2014United StatesPapery2014united-statespaper
Leveraging hardware emulation to accelerate SoCverification in multi-physics automotive simulation environment via the Functional Mock-up InterfacePierre-Guillaume Le Guay, Henrique Vicente De Souza, Caaliph Andriamisaina, Emmanuel Molina Gonzalez, and Tanguy Sassolas2018EuropePresentationy2018europepresentation
Leveraging hardware emulation to accelerate SoCverification in multi-physics automotive simulation environment via the Functional Mock-up InterfacePierre-Guillaume Le Guay, Henrique Vicente De Souza, Caaliph Andriamisaina, Emmanuel Molina Gonzalez and Tanguy Sassolas2019EuropePresentationy2019europepresentation
Leveraging IEEE 1800.2-2017 UVM for Improved RAL ModellingVikas Sharma, Manoj Manu, Ankit Garg2019IndiaPresentationy2019indiapresentation
Leveraging IEEE 1800.2-2017 UVM for Improved RAL ModellingVikas Sharma, Manoj Manu, Ankit Garg2019IndiaPapery2019indiapaper
Leveraging IP-XACT standardized IP interfaces for rapid IP integrationDavid Murray and Simon Rance2014United StatesPapery2014united-statespaper
Leveraging IP-XACT Standardized IP Interfaces for Rapid IP IntegrationDavid Murray2014United StatesPresentationy2014united-statespresentation
Leveraging more from GLS: Using metric driven GLS stimuli to boost timing verificationSowmya Ega, Richardson Jeyapaul, and Kunal Jani2018United StatesPresentationy2018united-statespresentation
Leveraging more from GLS: Using metric driven GLS stimuli to boost Timing VerificationSowmya Ega, Richardson Jeyapaul, and Kunal Jani2018United StatesPapery2018united-statespaper
Leveraging RAL and alternate automation (cocotb) techniques to improve Register Verification in UVMSougata Bhattacharjee2022IndiaPostery2022indiaposter
Leveraging the UVM RAL for Memory Sub-System VerificationTudor Timisescu and Uwe Simm2015EuropePresentationy2015europepresentation
Leveraging the UVM Register Abstraction Layer for Memory Sub-System VerificationTudor Timisescu and Uwe Simm2015EuropePapery2015europepaper
Leveraging UVM-based Low Power Package Library to SOC DesignsShikhadevi Katheriya, Avnita Pal, Puranapanda Sastry2023United StatesPostery2023united-statesposter
Leveraging UVM-based Low Power Package Library to SOC DesignsShikhadevi Katheriya, Avnita Pal, Puranapanda Sastry2023United StatesPapery2023united-statespaper
Leveraging Virtual Platform ESL and TLM SystemVerification in RTL using UVMAshok Mehta, Albert Chiang, and Wei-Hua Han2012United StatesPapery2012united-statespaper
Leveraging virtual prototypes from concept to siliconRob Kaye2017EuropePresentationy2017europepresentation
Lies, Damned Lies, and CoverageMark Litterick2015United StatesPapery2015united-statespaper
Lies, Damned Lies, and CoverageMark Litterick2015United StatesPresentationy2015united-statespresentation
Logic Equivalence Check without Low Power – you are at risk!!Aishwarya Nair, Krishna Patel2022IndiaPresentationy2022indiapresentation
Low Power Apps (Shaping the Future of Low Power Verification)Awashesh Kumar, Madhur Bhargava, Vinay Kumar Singh, and Pankaj Gairola2018United StatesPresentationy2018united-statespresentation
Low Power Apps: Shaping the Future of Low Power VerificationAwashesh Kumar, Madhur Bhargava, Vinay Singh, and Pankaj Gairola2018United StatesPapery2018united-statespaper
Low Power Coverage: The Missing Piece in Dynamic SimulationProgyna Khondkar, Gabriel Chidolue, and Ping Yeung2018United StatesPapery2018united-statespaper
Low Power Coverage: The Missing Piece in Dynamic SimulationProgyna Khondkar2018United StatesPresentationy2018united-statespresentation
Low Power Emulation for Power Intensive DesignsHarpreet Kaur, Mohit Jain, Piyush Kumar Gupta, Jitendra Aggarwal2014IndiaPresentationy2014indiapresentation
Low Power Extension In UVM Power ManagementPriyanka Gharat, Shikhadevi Katheriya, Avnita Pal2022IndiaPostery2022indiaposter
Low Power Extension in UVM Power ManagementPriyanka Gharat, Avnita Pal, Shikhadevi Katheriya2022IndiaPapery2022indiapaper
Low Power SoC Verification: IP Reuse and Hierarchical Composition using UPFAmit Srivastava, Rudra Mukherjee, Erich Marschner, and Chuck Seeley2012United StatesPapery2012united-statespaper
Low Power SoC Verification: IP Reuse and Hierarchical Composition using UPFAmit Srivastava, Rudra Mukherjee, Erich Marschner, and Chuck Seeley2012United StatesPresentationy2012united-statespresentation
Low Power Static Verification- Beyond Linting and Corruption SemanticsKaustav Guha , Ankush Bagotra, and Neha Bajaj2011United StatesPapery2011united-statespaper
Low Power Techniques in EmulationPragati Mishra & Jitendra Aggarwal2019IndiaPresentationy2019indiapresentation
Low Power Validation on Emulation Using Portable Stimulus StandardJoydeep Maitra, Deepinder Singh Mohoora, Vikash Kumar Singh2019IndiaPapery2019indiapaper
Low Power Verification Challenges and Coverage Recipe to Sign-Off PA VerificationDeepmala Sachan, Raghavendra Prakash, Venugopal Jennarapu, Syed S Thameem2014IndiaPresentationy2014indiapresentation
Low power Verification challenges and coverage recipe to sign-off Power aware VerificationDeepmala Sachan, Thameem Syed S, Raghavendra Prakash, Venugopal Jennarapu2014IndiaPapery2014indiapaper
Low Power Verification With LDOShang-Wei Tu, Amol Herlekar, and Yu-Juei Chen2016United StatesPapery2016united-statespaper
Low Power Verification with LDOShang-Wei Tu and Amol Herlekar2016United StatesPostery2016united-statesposter
Low Power Verification with UPF: Principle and PracticeJianfeng Liu, Mi-Sook Hong, Bong Hyun Lee JungYun Choi, HyoSig Won, Kyu-Myung Choi, Harsha Vardhan, and Aditya Kher2010United StatesPapery2010united-statespaper
Low-Power Verification at Gate Level for Zen Microprocessor CoreBaosheng Wang, Keerthi Mullangi, Raluca Stan, and Diana Irimia2020United StatesPresentationy2020united-statespresentation
Low-Power Verification Automation – A Practical ApproachShaji Kunjumohamed and Hendy Kosasih2013United StatesPapery2013united-statespaper
LOW-POWER VERIFICATION AUTOMATION: A PRACTICAL APPROACHShaji K. Kunjumohamed and Hendy Kosasih2013United StatesPostery2013united-statesposter
Low-Power Verification Methodology using UPF Query functions and Bind checkersMadhur Bhargava and Durgesh Prasad2014EuropePapery2014europepaper
Low-Power Verification Methodology using UPF Query functions and Bind checkersMadhur Bhargava and Durgesh Prasad2014EuropePostery2014europeposter
Machine Learning based PVT Space Coverage and Worst Case Exploration In Analog and Mixed-Signal Design VerificationHonghuang Lin, Zhipeng Ye, and Asad Khan2017United StatesPresentationy2017united-statespresentation
Machine Learning based PVT Space Coverage and Worst Case Exploration In Analog and Mixed-Signal Design VerificationH. Lin, Z. Ye, and A. M. Khan2017United StatesPapery2017united-statespaper
Machine Learning based Structure Recognition in Analog Schematics for Constraints GenerationRituj Patel, Husni Habal, Konda Reddy Venkata2021EuropePapery2021europepaper
Machine Learning Based Verification Planning Methodology Using Design and Verification DataHanna Jang, Seonghee Yim, Sunchang Choi, and Seonil Brian Choi2022United StatesPapery2022united-statespaper
Machine Learning Based Verification Planning Methodology Using Design and Verification DataHanna Jang, Seonghee Yim2022United StatesPresentationy2022united-statespresentation
Machine Learning Driven Verification A Step Function in Productivity and ThroughputDaniel Hansson, John Rose, and Matt Graham2022United StatesPresentationy2022united-statespresentation
Machine Learning for Coverage Analysis in Design VerificationV Jayasree2021EuropePapery2021europepaper
Machine Learning Introduction and Exemplary Application in Embedded Wireless PlatformsJonathan Ah Sue2018EuropePresentationy2018europepresentation
Machine Learning-based Smart Assessment of User Floorplan Quality without running Place & RouteHarn Hua Ng, Kirvy Teo2022JapanPapery2022japanpaper
Machine Learning-Guided Stimulus Generation for Functional VerificationSaumil Gogri, Jiang Hu, Aakash Tyagi, Mike Quinn, Swati Ramachandran, Fazia Batool, and Amrutha Jagadeesh2020United StatesPapery2020united-statespaper
Machine Learning-Guided Stimulus Generation for Functional VerificationS. Gogri, J. Hu, A. Tyagi, M. Quinn S. Ramachandran, F. Batool, and A. Jagadeesh2020United StatesPresentationy2020united-statespresentation
Make your Testbenches Run Like Clockwork!Markus Brosch, Salman Tanvir, and Martin Ruhwandl2020EuropePresentationy2020europepresentation
Make Your Testbenches Run Like Clockwork!Markus Brosch, Salman Tanvir and Martin Ruhwandl2020EuropePapery2020europepaper
Making Autonomous Cars SafeJoern Stohmann and Frederico Ferlini2017EuropePresentationy2017europepresentation
Making Autonomous Cars Safer – One chip at a timeApurva Kalia and Ann Keffer2018United StatesPresentationy2018united-statespresentation
Making Formal Property Verification Mainstream: An Intel Graphics ExperienceM Achutha KiranKumar V, Erik Seligman, Aarti Gupta, Ss Bindumadhava, and Abhijith Bharadwaj2017United StatesPapery2017united-statespaper
Making Formal Property Verification Mainstream: An Intel® Graphics ExperienceM Achutha Kiran, Kumar V., Erik Seligman, Aarti Gupta, Bindumadhava S. S., and Abhijith A. Bharadwaj2017United StatesPresentationy2017united-statespresentation
Making Formal Property Verification Mainstream: An Intel® Graphics ExperienceM Achutha KiranKumar V, Bindumadhava S S, Abhijith A Bharadwaj2017IndiaPresentationy2017indiapresentation
Making ISO26262 Functional Safety Verification a Natural Extension of Functional VerificationAndrew Betts and Ann Keffer2018EuropePresentationy2018europepresentation
Making Legacy Portable with the Portable Stimulus SpecificationMatthew Ballance2017United StatesPresentationy2017united-statespresentation
Making Legacy Portable with the Portable Stimulus SpecificationMatthew Ballance2017United StatesPapery2017united-statespaper
Making RAL Jump, an IntrospectionJeremy Ridgeway, Karishma Dhruv, and Manmohan Singh2014United StatesPapery2014united-statespaper
Making RAL Jump, an IntrospectionJeremy Ridgeway, Karishma Dhruv, and Manmohan Singh2014United StatesPostery2014united-statesposter
Making Security Verification “SECURE”Subin Thykkoottathil and Nagesh Ranganath2018United StatesPapery2018united-statespaper
Making Security Verification “SECURE”NAGESH RANGANATH and SUBIN THYKKOOTTATHIL2018United StatesPostery2018united-statesposter
Making the Most of the UVM Register Layer and SequencesDavid Long2017IndiaPresentationy2017indiapresentation
Making Virtual Prototypes WorkKartik Jivani, Jigar Patel2015IndiaPresentationy2015indiapresentation
Making Your DPI-C Interface a Fast River of DataRich Edelman2021United StatesPapery2021united-statespaper
MANAGING AND AUTOMATING HW/SW TESTS FROM IP TO SOCMatthew Ballance2018United StatesPapery2018united-statespaper
Managing and Automating Hw/Sw Tests from IP to SoCMatthew Ballance2018United StatesPostery2018united-statesposter
Managing Highly Configurable Design and VerificationJeremy Ridgeway2018United StatesPresentationy2018united-statespresentation
Managing Highly Configurable Design and VerificationJ. Ridgeway2018United StatesPapery2018united-statespaper
Marrying Simulation and Formal Made Easier!Lun Li, Durga Rangarajan, Christopher Starr, and James Greene2016United StatesPapery2016united-statespaper
Mastering Unexpected Situations SafelySacha Loitz2015IndiaPresentationy2015indiapresentation
Matrix Math package for VHDLDavid W. Bishop2015United StatesPostery2015united-statesposter
Matrix Math package for VHDLDavid W. Bishop2015United StatesPapery2015united-statespaper
Maximize PSS Reuse with Unified Test Realization Layer Across Verification EnvironmentsSimranjit Singh, Ashwani Aggarwal, Suman Kumar Reddy Mekala, Arun K.R., Woojoo Space Kim , Seonil Brian Choi, Gnaneshwara Tatuskar2021EuropePapery2021europepaper
Maximize Vertical Reuse, Building Module to System Verification Environments with UVM eHorace Chan, Brian Vandegriend, Deepali Joshi, and Corey Goss2013United StatesPapery2013united-statespaper
Maximize Vertical Reuse, Building Module to System Verification Environments with UVMeHorace Chan, Brian Vandegriend, Deepali Joshi, and Corey Goss2013United StatesPostery2013united-statesposter
Maximizing Formal ROI through Accelerated IP Verification Sign-offHao Chen, Kamakshi Sarat Vallabhapurapu, Scott Peverelle, Rosanna Yee, Hee Chul Kim, Johann Te, and Jacob Hotz2022United StatesPapery2022united-statespaper
Maximizing Formal ROI through Accelerated IP Verification Sign-offScott Peverelle, Hao Chen, Kamakshi Sarat Vallabhapurapu, Rosanna Yee, Hee Chul Kim, Johann Te, and Jacob Hotz2022United StatesPresentationy2022united-statespresentation
May the powers be with you! – Unleashing powerful new features in UPF IEEE 1801Srinivasan Venkataramanan and Ajeetha Kumari2020United StatesPresentationy2020united-statespresentation
MDLL & Slave Delay Line Performance Analysis Using Novel Delay ModelingAbhijith Kashyap, Avinash S and Kalpesh Shah2014IndiaPapery2014indiapaper
MDLL & Slave Delay Line Performance Analysis Using Novel Delay ModelingAbhijith Kashyap, Avinash Shambu, Kalpesh Shah2014IndiaPresentationy2014indiapresentation
Mechanical mounting variation effects on magnetic speed sensor applicationsSimone Fontanesi, Se Hwan Kim, Gernot Binder and Andrea Monterastelli2017EuropePresentationy2017europepresentation
Mechanical mounting variation effects on magnetic speed sensor applications Combining finite element methods and SystemC simulations to study the effects of mechanical tilts and offset on magnetic speed sensor applicationsSimone Fontanesi, Se Hwan Kim, Gernot Binder and Andrea Monterastelli2017EuropePapery2017europepaper
Mechanism to Generate FIFO VC Dependency Graph and Its Application to System Level Deadlock VerificationDebarshi Chatterjee, Chad Parsons, Siddhanth Dhodhi2021United StatesPapery2021united-statespaper
Media Performance Validation in Emulation and Post Silicon Using Portable Stimulus StandardSuresh Vasu, Nithin Venkatesh, Joydeep Maitra2021United StatesPapery2021united-statespaper
Melioration of Enhanced Low Power Memory Retention and Low Power Feature Validation Using API’sNithin Venkatesh, Akula Hareesh2021United StatesPapery2021united-statespaper
Melting Verification Pot: Integrating RVM/VMM and UVM, a Practical Guide and Lessons Learned.Mark A. Azadpour2012United StatesPapery2012united-statespaper
Melting Verification Pot: Integrating RVM/VMM and UVM, a Practical Guide and Lessons Learned.Mark Azadpour2012United StatesPresentationy2012united-statespresentation
Memory Debugging of Virtual PlatformsGeorge F. Frazier, Neeti Bhatnagar, Qizhang Chao, and Kathy Lang2012United StatesPresentationy2012united-statespresentation
Memory Debugging of Virtual Prototypes with TLM 2.0George F. Frazier, Qizhang Chao, Neeti Bhatnagar, and Kathy Lang2012United StatesPapery2012united-statespaper
Memory Subsystem Verification – Can it be taken for granted?Shivani Upasani2013United StatesPresentationy2013united-statespresentation
Memory Subsystem Verification: Can it be taken for granted?Shivani Upasani and Prashanth Srinivasa2013United StatesPapery2013united-statespaper
MeSSMArch – A Memory System Simulator for Hardware Multithreading ArchitecturesSushil Menon2015IndiaPresentationy2015indiapresentation
MeSSMArch – A Memory System Simulator for Hardware Multithreading ArchitecturesSushil Menon2015IndiaPapery2015indiapaper
Meta Design FrameworkSanjeev Singh and Jonathan Sadowsky2015United StatesPostery2015united-statesposter
Meta Design Framework: Building Designs ProgrammaticallySanjeev Singh and Jonathan Sadowsky2015United StatesPapery2015united-statespaper
Metadata Based Testbench GenerationDaeseo Cha, Soonoh Kwon, and Ahhyung Shin2022United StatesPresentationy2022united-statespresentation
Metadata Based Testbench Generation AutomationDaeseo Cha, Soonoh Kwon, Ahhyung Shin, Youngnam Youn, Youngsik Kim, and Seonil Brian Choi2022United StatesPapery2022united-statespaper
Method for early performance verification of hardware-accelerated embedded processor systems in RTL simulationLuca Sasselli, Mehmet Tukel, David Guthrie2021EuropePapery2021europepaper
Method for Generating Unique Coverage Classes to Enable Meaningful Covergroup Merges Across TestbenchesEldon Nelson, MS, PE2015United StatesPapery2015united-statespaper
Method for Generating Unique Coverage Classes to Enable Meaningful Covergroup Merges Across TestbenchesEldon Nelson, M.S., P.E.2015United StatesPresentationy2015united-statespresentation
Methodology for Abstract Power Intent Specification and GenerationPramod Warrier, Bhavesh Jeewani, Juergen Karmann, Michael Velten2017IndiaPresentationy2017indiapresentation
Methodology for Automated Generation and Validation of Analog Behavioral Models for Mixed-Signal VerificationNan Ni, Chunya Xu, and Sebastian Simon2018EuropePapery2018europepaper
Methodology for automating coverage-driven interrupt testing of instruction setsDavid McConnell, Greg Tumbush2021United StatesPapery2021united-statespaper
Methodology for checking UVM VIPsMilan Vlahovic and Ilija Dimitrijevic2019EuropePresentationy2019europepresentation
Methodology for checking UVM VIPsMilan Vlahovic and Ilija Dimitrijevic2018EuropePresentationy2018europepresentation
Methodology for Hardware Software Co-verification of Video Systems on Pre and Post SiliconVinesh Peringat2015IndiaPresentationy2015indiapresentation
Methodology for Hardware Software Co-verification of Video Systems on Pre and Post SiliconVinesh Peringat, Balajee Premraj, Venkatesh Merugu2015IndiaPostery2015indiaposter
Methodology for Separation of Design Concerns Using Conservative RTL Flipflop InferenceMaya H. Safieddine, Fadi A. Zaraket, and Rouwaida Kanj2015United StatesPapery2015united-statespaper
Methodology for Separation of Design Concerns Using Conservative RTL Flipflop InferenceMaya H. Safieddine, Fadi A. Zaraket, and Rouwaida Kanj2015United StatesPresentationy2015united-statespresentation
Methodology for system-level comparison of ARM vs RISC-V cores for latency and power consumptionTom Jose, Deepak Shankar2022IndiaPresentationy2022indiapresentation
Methodology for Verification Regression Throughput Optimization using Machine LearningArun.K.R, Preetham Lakshmikanthan, and Ashwani Aggarwal2021IndiaPostery2021indiaposter
Methodology for Verification Regression Throughput Optimization using Machine LearningArun K.R. Preetham Lakshmikanthan, Ashwani Aggarwal, and Sundararajan Ananthakrishnan2021IndiaPresentationy2021indiapresentation
Methodology of Communication Protocols Development: from Requirements to ImplementationIrina Lavrovskaya and Valentin Olenev2015EuropePresentationy2015europepresentation
Metric Driven Mixed-Signal Verification Methodology and Practices for Complex Mixed-signal ASSPsFrank Yang, Andy Sha, Morton Zhao, and Yanping Sha2014United StatesPapery2014united-statespaper
Metric Driven Mixed-Signal Verification Methodology and Practices for Complex Mixed-signal ASSPsFrank Yang, Andy Sha, Morton Zhao, and Yanping Sha2014United StatesPostery2014united-statesposter
Metric Driven Verification of Mixed-Signal DesignsNeyaz Khan, Yaron Kashai, and Hao Fang2011United StatesPapery2011united-statespaper
Metrics Driven Sign-off for SoC Specific Logic (SSL) Using Formal TechniquesAbhinav Gaur, Gaurav Jain, Ruchi Singh2021United StatesPapery2021united-statespaper
Metrics in SoC VerificationAndreas Meyer and Harry Foster2012United StatesPapery2012united-statespaper
Micro-processor verification using a C++11 sequence-based stimulus engine.Stephan Bourduas and Chris Mikulis2017United StatesPresentationy2017united-statespresentation
Micro-processor verification using a C++11 sequence-based stimulus engine.Stephan Bourduas and Chris Mikulis2017United StatesPapery2017united-statespaper
MicroTESK: Automated Architecture Validation Suite Generator for MicroprocessorsMikhail Chupilko, Alexander Kamkin, Alexander Protsenko, Sergey Smolov, and Andrei Tatarnikov2018EuropePapery2018europepaper
Migrating from OVM to UVM The Definitive GuideAdiel Khan2013United StatesPresentationy2013united-statespresentation
Migrating from UVM to UVM-AMSTom Fitzpatrick, Abhijit Madhu Kumar2023United StatesPresentationy2023united-statespresentation
Migrating to UVM : Conquering LegacySantosh Sarma, Amit Sharma, and Adiel Khan2013United StatesPapery2013united-statespaper
Mind the Gap(s): Creating & Closing Gaps Between Design and VerificationChris Giles and Kurt Takara2020United StatesPresentationy2020united-statespresentation
Mining Coverage Data for Test Set Coverage EfficiencyMonica Farkash, Bryan Hickerson, Mike Behm, and Balavinayagam Samynathan2015United StatesPapery2015united-statespaper
Mining Coverage Data for Test Set Coverage EfficiencyBryan Hickerson, Mike Behm, Balavinayagam Samynathan, and Monica Farkash2015United StatesPresentationy2015united-statespresentation
MIPI M-PHY Analog Modeling in Verilog-AMS (Wreal) and Verification Using SV/UVM-MS MethodologyMallikarjuna Reddy Y, Venkatramana Rao K, Somanatha Shetty A2015IndiaPresentationy2015indiapresentation
Mixed Electronic System Level Power/Performance EstimationAntonio Genov, Loic Leconte and François Verdier2020EuropePapery2020europepaper
Mixed ESL Power/Performance Estimation using SystemC/TLM2.0 Modeling and PwClkARCHLibraryAntonio Genov, Loic Leconte, and François Verdier2020EuropePresentationy2020europepresentation
Mixed Signal Assertion-Based VerificationPrabal Bhattacharya, Don O’Riordan, and Walter Hartong2011United StatesPapery2011united-statespaper
Mixed Signal Design Verification: Leveraging the Best of AMS and DMSRock Z. Shi, Padmashree Bhinge, Preston Birdsong, Geeta Chaitanya, and Kunal Jani2022United StatesPresentationy2022united-statespresentation
Mixed Signal Functional Verification Methodology for Embedded Non-Volatile Memory Using ESP SimulationS. Do, J. Park, D. Kim, and J. Jang2022United StatesPresentationy2022united-statespresentation
Mixed Signal Verification of a Voltage Regulator using a State Space Approach and the SV-DC extensionsRajat Mitra2016United StatesPapery2016united-statespaper
Mixed Signal Verification of Dynamic Adaptive Power Management in Low Power SoCNeyaz Khan2010United StatesPapery2010united-statespaper
Mixed Signal Verification of UPF based designs A Practical ExampleAndrew Milne and Damian Roberts2015United StatesPresentationy2015united-statespresentation
Mixed Signal Verification of UPF based designs A Practical ExampleAndrew Milne and Damian Roberts2015United StatesPapery2015united-statespaper
Mixed-abstraction Modeling Approach with Fault Injection for Hardware-Firmware Co-design and Functional Co-verification of an Automotive Airbag System on Chip ProductDipl.-Ing. Dr.-techn. Thang Nguyen, Dipl.-Ing. and Dieter Haerle2013United StatesPapery2013united-statespaper
Mixed-abstraction Modeling Approach with Fault Injection for Hardware-Firmware Co-design and Functional Co-verification of an Automotive Airbag System on Chip ProductThang Nguyen, Dipl.-Ing.-Dr. and Dieter Haerle, Dipl.-Ing.2013United StatesPresentationy2013united-statespresentation
Mixed-Signal Design Verification: Leveraging the Best of AMS and DMSRock Z. Shi, Padmashree Bhinge, Preston Birdsong, Geeta Chaitanya, and Kunal Jani2022United StatesPapery2022united-statespaper
Mixed-Signal Functional Verification Methodology for Embedded Non-Volatile Memory Using ESP SimulationS. Do, J. Park, D. Kim, and J. Jang2022United StatesPapery2022united-statespaper
Mixed-Signal Systems-on-Chip Design Verification With Automatic Real-Number AbstractionNancy Qiu, Frank Yang, and Himadri De2016United StatesPapery2016united-statespaper
Mixed-Signal Verification Methodology to Verify Type-C USBVarun R, Vinayak Hegde, and Somasunder Kattepura Sreenath2017United StatesPapery2017united-statespaper
Mixed-Signal Verification Methodology to Verify USB Type-CVarun R, Vinayak Hegde ans Somasunder Kattepura Sreenath2017United StatesPostery2017united-statesposter
ML-Based Verification and Regression AutomationAbhishek Chauhan, Asif Ahmad2021United StatesPapery2021united-statespaper
Model based Automation of Verification Development for automotive SOCsAljoscha Kirchner, Jan Hendrik Oetjens, and Oliver Bringmann,2020EuropePresentationy2020europepresentation
Model Extraction for Designs Based on Switches for Formal VerificationAmar Patel, Naman Jain2014IndiaPapery2014indiapaper
Model Extraction for Designs Based on Switches for Formal VerificationAmar Patel, Naman Jain2014IndiaPresentationy2014indiapresentation
Model Validation for Mixed-Signal VerificationCarsten Wegener2016EuropePresentationy2016europepresentation
Model Validation for Mixed-Signal Verification The Importance of Being Earnest about ModelingCarsten Wegener2016EuropePapery2016europepaper
Model-Based Automation of Verification Development for Automotive SOCsAljoscha Kirchner, Jan-Hendrik Oetjens and Oliver Bringmann2020EuropePapery2020europepaper
Model-Based Design The Top-Level System Design MethodAlan P. Su2023TaiwanPapery2023taiwanpaper
Modeling a Hierarchical Register Scheme with UVMJoshua Hardy2017United StatesPresentationy2017united-statespresentation
Modeling a Hierarchical Register Scheme with UVMJoshua Hardy2017United StatesPapery2017united-statespaper
Modeling Analog Devices Using SV-RNMMariam Maurice2022United StatesPostery2022united-statesposter
Modeling Analog Devices using SV-RNMMariam Maurice2022United StatesPapery2022united-statespaper
Modeling Analog Systems Using Full Digital Simulations (A State Space Approach)Rajat K Mitra 2016United StatesPresentationy2016united-statespresentation
Modeling Memory Coherency During Concurrent/Simultaneous AccessesSubramoni Parameswaran2022United StatesPapery2022united-statespaper
Modeling Memory Coherency for Concurrent/Parallel AccessesSubramoni Parameswaran2022United StatesPresentationy2022united-statespresentation
Modeling Memory Coherency for concurrent/parallel accessesSubramoni Parameswaran2022United StatesPresentationy2022united-statespresentation
Modeling of Generic Transfer Functions in SystemVerilogElvis Shera2016EuropePresentationy2016europepresentation
Modeling of Generic Transfer Functions in SystemVerilog. Demystifying the Analytic ModelElvis Shera2016EuropePapery2016europepaper
Modelling Finite-State Machines in the Verification Environment using Software Design PatternsDarko M. Tomušilović2017EuropePresentationy2017europepresentation
Modelling Finite-State Machines in the Verification Environment using Software Design PatternsDarko M. Tomušilović and Mihajlo Z. Minović2017EuropePapery2017europepaper
Modelling of UVC Monitor Class as a Finite State Machine for a Packet-Based InterfaceDjordje Velickovic, Milos Mitic2022EuropePapery2022europepaper
Modelling of UVC Monitor Class as a Finite State Machine for a Packet-Based InterfaceDjordje Velickovic, Milos Mitic2022EuropePresentationy2022europepresentation
Modern methodologies in a TCL test environmentMatteo De Luigi and Alessandro Ogheri2015EuropePresentationy2015europepresentation
Molding Functional Coverage for Highly Configurable IPJ. Ridgeway, K. Chaturvedula, and K. Dhruv2016United StatesPapery2016united-statespaper
Molding Functional Coverage for Highly Configurable IPJeremy Ridgeway, Kavitha Chaturvedula, and Karishma Dhruv2016United StatesPostery2016united-statesposter
Monitors, Monitors Everywhere – Who Is Monitoring the MonitorsRich Edelman and Raghu Ardeishar2013United StatesPapery2013united-statespaper
Monitors, Monitors Everywhere …Rich Edelman and Raghu Ardeishar2013United StatesPostery2013united-statesposter
Moving beyond Assertions: An Innovative approach to Low-Power Checking using UPF Tcl AppsMadhur Bhargava2019United StatesPostery2019united-statesposter
Moving SystemC to a New C++ StandardRalph Görgen and Philipp A. Hartmann2016EuropePapery2016europepaper
MS-SoC Best Practices – Advanced Modeling & Verification Techniques for first-pass successNeyaz Khan, Greg Glennon, and Dan Romaine2013United StatesPapery2013united-statespaper
Multi-Domain Verification: When Clock, Power and Reset Domains CollidePing Yeung, Erich Marschner, and Kaowen Liu2015United StatesPapery2015united-statespaper
Multi-Domain Verification: When Clock, Power and Reset Domains CollidePing Yeung, Erich Marschner, and Kaowen Liu2015United StatesPresentationy2015united-statespresentation
Multi-Language Verification: Solutions for Real World ProblemsBryan Sniderman and Vitaly Yankelevich2014United StatesPapery2014united-statespaper
Multi-Language Verification: Solutions for Real World ProblemsBryan Sniderman and Vitaly Yankelevich2014United StatesPresentationy2014united-statespresentation
Multi-Variant Coverage: Effective Planning and ModellingVikas Sharma and Manoj Manu2018EuropePapery2018europepaper
Multi-Variant Coverage: Effective Planning and ModellingVikas Sharma and Manoj Manu2018EuropePresentationy2018europepresentation
Multimedia IP DMA verification platformSuhyung Kim, Sangkyu Park, Myungwoo Seo, Sangjin Lee, and Jiyeon Park2020United StatesPostery2020united-statesposter
Multimedia IP DMA verification platformSuhyung Kim, Sangkyu Park, Myungwoo Seo, Sangjin Lee, and Jiyeon Park2020United StatesPapery2020united-statespaper
Multiplier-Adder-Converter Linear Piecewise Approximation for Low Power Graphics ApplicationsDina M. Ellaithy, Magdy A. El-Moursy, Amal Zaki, and Abdelhalim Zekry2019United StatesPostery2019united-statesposter
Multithreading a UVM Testbench for Faster SimulationBenjamin Applequist, Vijayakrishnan Rousseau, Andrew Tan, and Jayasrinivas Sesham2020United StatesPresentationy2020united-statespresentation
Mutable Verification Environments Through Visitor and Dynamic Register Map ConfigurationMatteo Barbati, Alberto Allara2020EuropePapery2020europepaper
Mutable Verification Environments through Visitor and Dynamic Register Map ConfigurationMatteo Barbati and Alberto Allara2020EuropePresentationy2020europepresentation
My Testbench Used to Break! Now it Bends (Adapting to Changing Design Configurations)Jeff Vance, Jeff Montesano, Kevin Vasconcellos, and Kevin Johnston2018United StatesPresentationy2018united-statespresentation
My Testbench Used to Break! Now it Bends: Adapting to Changing Design ConfigurationsJeff Vance, Jeff Montesano, Kevin Vasconcellos, and Kevin Johnston2018United StatesPapery2018united-statespaper
Navigating The Functional Coverage Black Hole: Be More Effective At Functional Coverage ModelingJason Sprott, Paul Marriott, and Matt Graham2015United StatesPapery2015united-statespaper
Navigating The Functional Coverage Black Hole: Be More Effective At Functional Coverage ModelingJason Sprott, Paul Marriott, and Matt Graham2015United StatesPresentationy2015united-statespresentation
Netlist Enabled Emulation Platform for Accelerated Gate Level VerificationSamhith Pottem, Vasudeva Reddy, Rahul S S, Sarang Kalbande, Garima Srivastava, Hyundon Kim2023IndiaPresentationy2023indiapresentation
Netlist Enabled Emulation Platform for Accelerated Gate Level VerificationSamhith Pottem, Vasudeva Reddy, Rahul S S, Sarang Kalbande, Garima Srivastava, Hyundon Kim2023IndiaPapery2023indiapaper
Netlist PathsJamie Hanlon, Samuel Kong2021EuropePapery2021europepaper
Network Packet Header Generation Using Graph Based Techniques Combined with Software Testing StrategiesSridevi Navulur, Satheesh Parasumanna, Rama Chaganti 2015IndiaPresentationy2015indiapresentation
Never Too Late With Formal: Stepwise Guide for Applying Formal Verification in Post-Silicon Phase to Avoid Re-SpinsAnshul Jain, Aarti Gupta, Achutha KiranKumar V M, Bindumadhava Ss, Shivakumar S Kolar, and Siva Gadey NV2022United StatesPapery2022united-statespaper
Never Too Late with Formal: Stepwise Guide for Applying FV in Post Si Phase to Avoid Re-SpinsAnshul Jain, Aarti Gupta, Achutha KiranKumar V M, Bindumadhava Ss, Shivakumar S Kolar, Siva Gadey NV2022United StatesPresentationy2022united-statespresentation
New and active ways to bind to your designKaiming Ho2013United StatesPresentationy2013united-statespresentation
New and Active Ways to Bind to Your DesignsKaiming Ho2013United StatesPapery2013united-statespaper
New Challenges in Verification of Mixed-Signal IP and SoC DesignLuke Lang and Christina Chu2012United StatesPapery2012united-statespaper
New Constrained Random and Metric-Driven Verification Methodology using PythonMarek Cieplucha and Witold A. Pleskacz2017United StatesPapery2017united-statespaper
New Constrained Random and Metric-Driven Verification Methodology using PythonMarek Ciepłucha and Witold Pleskacz2017United StatesPresentationy2017united-statespresentation
New Trends in RTL Verification: Bug Localization, Scan- Chain-Based Methodology, GA-Based Test GenerationKhaled Salah 2015EuropePostery2015europeposter
New Trends in RTL Verification: Bug Localization, Scan-Chain-Based Methodology, GA-Based Test GenerationKhaled Salah2015EuropePapery2015europepaper
Next Frontier in Formal VerificationPing Yeung, Rajesh Rathi, Vaibhav Kumar, Puneet Anand, Ravindra Aneja2023United StatesPresentationy2023united-statespresentation
Next Gen System Design and Verification for TransportationBryan Ramirez, Petri Solanti and Richard Pugh2019EuropePresentationy2019europepresentation
Next Gen System Design and Verification for TransportationDavid Aerne, Jacob Wiltgen, and Richard Pugh2019United StatesPresentationy2019united-statespresentation
Next Generation ISO 26262-basedDesign Reliability FlowsJörg Große and Sanjay Pillay2017EuropePresentationy2017europepresentation
Next Generation Verification for the Era of AI/ML and 5GFrank Schirrmeister, Pete Hardee, Larry Melling, Amit Dua, and Moshik Rubin2020United StatesPresentationy2020united-statespresentation
Next-generation Power Aware CDC Verification – What have we learned?Kurt Takara, Chris Kwok, Naman Jain, and Ashish Hari2015United StatesPapery2015united-statespaper
Next-generation Power Aware CDC Verification What have we learned?Kurt Takara, Chris Kwok, Naman Jain, and Ashish Hari2015United StatesPostery2015united-statesposter
No Country For Old Men – A Modern Take on Metrics Driven VerificationSvetlomir Hristozkov, James Pallister, Richard Porter2021EuropePapery2021europepaper
No gain without RISC- A novel approach for accelerating and streamlining RISC-V Processor functional verification with register change dump methodologyDamandeep Saini, Chethan AS, Anil Deshpande, Raviteja Gopagiri, Somasundar, Niharika Sachdeva2023IndiaPresentationy2023indiapresentation
No gain without RISC- A novel approach for accelerating and streamlining RISC-V Processor functional verification with register change dump methodologyDamandeep Saini, Chethan AS, Anil Deshpande, Raviteja Gopagiri, Somasundar, Niharika Sachdeva2023IndiaPapery2023indiapaper
No RTL Yet? No Problem UVM Testing a SystemVerilog Fabric ModelRich Edelman2016United StatesPapery2016united-statespaper
No RTL Yet? No Problem UVM Testing a SystemVerilog Fabric ModelRich Edelman2016United StatesPresentationy2016united-statespresentation
NO.001: Applicable to eSim Development for Automatic Construction of UVM Verification Platform For Large-Scale Data Communication ChipsAnkui Ge, Lei Wang, and Feng Wang2021ChinaPostery2021chinaposter
NO.002: Accurate Charge-pump Regulator Modeling using SV EEnetSherry Li, Tulong Yang, and Ayesha Huq2021ChinaPostery2021chinaposter
NO.003: RISC-V Processor Core Verification Based on Open Source ToolsYanbing Xu2021ChinaPostery2021chinaposter
NO.005: Improvement of chip verification automation technologyMa Yao, Shao Haibo, Yue Yaping, and Cao Zhu2021ChinaPostery2021chinaposter
NO.006: A Systematic IP Verification Solution of Complex Memory Management for Storage SOCJinsong Liu and Shuhui Wang2021ChinaPostery2021chinaposter
NO.008: LiteX: a novel open source framework for SoCFeng Li2021ChinaPostery2021chinaposter
NO.009: Transaction Equivalence Formal Check (DPV) in Video Algorithm/FPU/AI AreaMinqi Bao2021ChinaPostery2021chinaposter
NO.010: Silicon Bug Hunt with“Deep Sea Fishing”Formal VerificationPing Yeung and Jin Hou2021ChinaPostery2021chinaposter
NO.011: Completely Release the Power of VerificationIP–A Step-by-Step Guidance for In-HouseIPDevelopmentBin Liu, Xiaoming Ma, Kailong Wang, and Qiaochu Zhu2021ChinaPostery2021chinaposter
NO.012: How Fast Can You Run SLEC For Verifying Design Optimizations and Bug FixesJin Hou Wenli Liang, and Lina Guo2021ChinaPostery2021chinaposter
NO.013: Sequential Equivalence Checking Beyond Clock Gating VerificationXiushan Feng, Xiaolin Chen, and Sarah Li2021ChinaPostery2021chinaposter
NO.014: An Intelligent SOC Verification PlatformDeyong Yang and Fabo Deng2021ChinaPostery2021chinaposter
NO.015: A Methodology to Verify Functionality, Security, and Trust for RISC-V CoresNicolae Tusinschi, Wei Wei Chen, and Tom Anderson2021ChinaPostery2021chinaposter
NO.015: A Methodology to Verify Functionality, Security, and Trust for RISC-V CoresNicolae Tusinschi, Wei Wei Chen, and Tom Anderson2021ChinaPostery2021chinaposter
NOT JUST FOR HARDWARE DEBUG: PROTOTYPE DEBUGGERS FOR SYSTEM VALIDATION AND OPTIMIZATIONMichael Sachtjen and Joe Gaubatz2015United StatesPostery2015united-statesposter
Not Just for Hardware Debug: Prototype Debuggers for System Validation and OptimizationMichael Sachtjen and Joe Gaubatz2015United StatesPapery2015united-statespaper
Novel Adaptive CPU Scoreboard Methodology for a Multi-language environmentPooja Madhusoodhanan, Saya Goud Langadi, Labeeb K2022IndiaPresentationy2022indiapresentation
Novel Adaptive CPU Scoreboard Methodology for a Multi-language environmentPooja Madhusoodhanan, Saya Goud Langadi, Labeeb K2022IndiaPapery2022indiapaper
Novel approach for SoC pipeline latency and connectivity verification using FormalDeepak Mohan, Senthilnath Subbarayan, Sandeep Kumar2022IndiaPresentationy2022indiapresentation
Novel approach for SoC pipeline latency and connectivity verification using FormalDeepak Mohan, Senthilnath Subbarayan, Sandeep Kumar2022IndiaPapery2022indiapaper
Novel Approach to ASIC PrototypingMohamed Saheel, Suresh Balasubramanian, and Vijayakrishnan Rousseau2019United StatesPostery2019united-statesposter
Novel Approaches for C vs. RTL Formal Verification of Vertex Attribute Address Generator UnitNianchen Wu, Christopher Starr, and Xiushan Feng2020United StatesPresentationy2020united-statespresentation
Novel GUI Based UVM Test Bench Template BuilderVignesh Manoharan2022United StatesPostery2022united-statesposter
Novel GUI Based UVM Test Bench Template BuilderVignesh Manoharan2022United StatesPapery2022united-statespaper
Novel Methodology for TLM Model Unit VerificationNavaneet Kumar, Archna Verma, Ashish Mathur2022IndiaPresentationy2022indiapresentation
Novel Mixed Signal Verification Methodology using complex UDNsRakesh Dama, Ravi Reddy, and Andy Vitek2019United StatesPapery2019united-statespaper
Novel Mixed Signal Verification Methodology Using Complex UDNsRakesh Dama, Ravi Reddy, and Andy Vitek2019United StatesPresentationy2019united-statespresentation
Novel Model First Approach to Shift-Left Soft-Logic RTL Development on Intel Agilex FPGA for Data Centre IPU DesignPravat K Nayak, Vikrant Kapila, Pushpa Naik, Niketkumar Sharma2023IndiaPostery2023indiaposter
Novel Paradigm in Formally Verifying Complex AlgorithmsM Achutha KiranKumar V, Disha Puri, Mohit Choradia, Paras Gupta2021United StatesPapery2021united-statespaper
Novel Test Case Design Techniques for Logical Specifications of Safety Critical Systems Software in Aerial VehicleLakshmi KVNS and Sanjeev Kumar2017United StatesPapery2017united-statespaper
Novel Verification Techniques for ARM A15 Multi-core Subsystem Using IEEE 1647Vaibhav Mahimkar, Akshit Dayal, Tomas Huynh, Van Huynh, and Erwin Hermanto2014United StatesPostery2014united-statesposter
Novel Verification Techniques for ARM A15 Multi-core Subsystem Using IEEE 1647Vaibhav Mahimkar, Akshit Dayal, Tomas Huynh, Van Huynh, and Erwin Hermanto.2014United StatesPapery2014united-statespaper
Novelty-Driven Verification: Using Machine Learning to Identify Novel Stimuli and Close CoverageTim Blackmore, Rhys Hodson, Sebastian Schaal2021United StatesPapery2021united-statespaper
NRFs Indentification & Signoff with GLS ValidationRohit Kumar Sinha, Rakesh Misra, Nagesh Kulkarni2022IndiaPostery2022indiaposter
NVMe Development and Debug for a 16 x Multicore SystemSoummya Mallick, Raj Mathur, Arindam Guha, and Frank Schirrmeister2019United StatesPapery2019united-statespaper
NVVM: A Netlist-based Verilog Verification Methodology for Mixed-Signal DesignJiping Qiu, Kurt Schwartz2014EuropePapery2014europepaper
NVVM: A Netlist-based Verilog Verification Methodology for Mixed-Signal DesignJiping Qiu, Kurt Schwartz2014EuropePresentationy2014europepresentation
Obscure Face of UVM RAL: To Tackle Verification of Error ScenariosSubhash Pai, Lavanya Polineni2017IndiaPapery2017indiapaper
Obscure face of UVM RAL: To Tackle Verification of Error ScenariosSubhash Pai, Lavanya Polineni2017IndiaPresentationy2017indiapresentation
Of Camels and CommitteesTom Fitzpatrick and Dave Rich2014United StatesPapery2014united-statespaper
Of Camels and Committees: Standardization Should Enable Innovation, Not Strangle ItTom Fitzpatrick and Dave Rich2014United StatesPresentationy2014united-statespresentation
Off To The Races With Your Accelerated SystemVerilog Testbench (A Methodology for Hardware-Assisted Acceleration of SystemVerilog Testbenches)Hans van der Schoot, Anoop Saha, Ankit Garg, and Krishnamurthy Suresh2011United StatesPapery2011united-statespaper
OIL check of PCIe with Formal VerificationVedprakash Mishra, Carlston Lim, Zhi Feng Lee, Jian Zhong Wang, Anshul Jain and Achutha KiranKumar V M2022IndiaPresentationy2022indiapresentation
On Verification Coverage Metrics in Formal Verification and Speeding Verification Closure with UCIS Coverage Interoperability StandardRajeev Ranjan, PhD, Ross Weber, and Ziyad Hanna, PhD2013United StatesPapery2013united-statespaper
One Compile to Rule Them All: An Elegant Solution for OVM/UVM Testbench TopologiesGalen Blake and Steve Chappell2013United StatesPapery2013united-statespaper
One Compile to Rule them All: An elegant solution for OVM/UVM Testbench TopologiesGalen Blake and Steve Chappell2013United StatesPresentationy2013united-statespresentation
One Stop Solution for DFT Register Modelling in UVMRui Huang2017United StatesPapery2017united-statespaper
One Stop Solution of DFT Register Modelling in UVMRui Huang2017United StatesPresentationy2017united-statespresentation
One Testbench to Rule them all!Salman Tanvir, Markus Brosch, Amer Siddiqi2021EuropePapery2021europepaper
Open Source Solution for RISC-V VerificationMikhail Chupilko, Alexander Kamkin and Alexander Protsenko2019EuropePresentationy2019europepresentation
Open Source Solution for RISC-V VerificationMikhail Chupilko, Alexander Kamkin, and Alexander Protsenko 2018EuropePresentationy2018europepresentation
Open Source Virtual Platforms for SW Prototyping on FPGA Based HWChen Qian, Praveen Wadikar, Mark Burton2019IndiaPapery2019indiapaper
Open-source Framework for Co-emulation using PYNQIoana-Cătălina Cristea, Dragoș Dospinescu2021United StatesPapery2021united-statespaper
Optimal Usage of the Computer Farm for Regression TestingDaniel Hansson and Patrik Granath2016United StatesPapery2016united-statespaper
Optimal Usage of the Computer Farm for Regression TestingDaniel Hansson and Patrik Granath2016United StatesPresentationy2016united-statespresentation
Optimizing Area and Power Using Formal MethodAlan Carlin, Chris Komar Cadence, and Anuj Singhania2011United StatesPapery2011united-statespaper
Optimizing Design Verification using Machine LearningWilliam Hughes, Sandeep Srinivasan, Rohit Suvarna, Maithilee Kulkarni2021EuropePapery2021europepaper
Optimizing Random Test Constraints Using Machine Learning AlgorithmsStan Sokorac2017United StatesPresentationy2017united-statespresentation
Optimizing Random Test Constraints Using Machine Learning AlgorithmsStan Sokorac2017United StatesPapery2017united-statespaper
Optimizing Turnaround Times In A CI Flow Using a Scheduler ImplementationRobert Strong2022United StatesPresentationy2022united-statespresentation
Optimizing Turnaround Times in a Continuous Integration Flow Using a Scheduler Based ImplementationRobert Strong2022United StatesPapery2022united-statespaper
OS aware IP Development MethodologyHyunjae Woo, Woojoo Kim, Youngsik Kim, and Seonil Brian Choi2019United StatesPresentationy2019united-statespresentation
OS-aware IP Development MethodologyHyunjae Woo, Woojoo Kim, Youngsik Kim, and Seonil Brian Choi2019United StatesPapery2019united-statespaper
OS-aware Performance and Power Analysis MethodologyHyunjae Woo, HojinJo, Woojoo Kim, and Seonil Brian Choi2020United StatesPresentationy2020united-statespresentation
OSVVM and Error ReportingJim Lewis2015EuropePapery2015europepaper
OSVVM and Error ReportingJim Lewis2015EuropePresentationy2015europepresentation
OSVVM: Advanced Verification for VHDLJim Lewis2014EuropePapery2014europepaper
OSVVM: Advanced Verification for VHDLJim Lewis2014EuropePostery2014europeposter
Our Experience of Glitches at Clock Trees, CDC Paths and Reset TreesJebin Mohandas2019IndiaPapery2019indiapaper
Overcoming AXI Asynchronous Bridge Verification Challenges with AXI Assertion-Based Verification IP (ABVIP) and Formal Datapath ScoreboardsBochra Elmaray and Joerg Mueller2013United StatesPapery2013united-statespaper
Overcoming AXI Asynchronous Bridge Verification Challenges with AXI Assertion-Based Verification IP (ABVIP) and Formal Datapath ScoreboardsBochra El-Meray and Jörg Müller2013United StatesPresentationy2013united-statespresentation
Overcoming Challenges in Functional Verification of Automotive Traffic SchedulersHarshit Jaiswal, Hemlata Bist, Rohit Mishra, Ori Tal2022IndiaPresentationy2022indiapresentation
Overcoming Challenges in SoC RTL Verification of USB SubsystemTijana Mišić and Marko Mišić,2019EuropePresentationy2019europepresentation
Overcoming System Verilog Assertions limitations through temporal decoupling and automationMattia De Pascalis, Xia Wu, Matteo Vottero, Jacob Sander Andersen2022EuropePresentationy2022europepresentation
Overcoming SystemVerilog Assertions limitations through temporal decoupling and automationMattia De Pascalis, Xia Wu, Matteo Vottero, Jacob Sander Andersen2022EuropePapery2022europepaper
OVM & UVM Techniques for On-the-fly ResetMuralidhara Ramalingaiah and Boobalan Anantharaman2012United StatesPresentationy2012united-statespresentation
OVM & UVM Techniques for On-the-fly ResetMuralidhara Ramalingaiah and Boobalan Anantharaman2012United StatesPapery2012united-statespaper
OVM & UVM Techniques for Terminating TestsClifford E. Cummings and Tom Fitzpatrick2011United StatesPapery2011united-statespaper
OVM TO UVM DEFINITIVE GUIDE PART 1Adiel Khan, Justin Refice, and Warren Stapleton2013United StatesPapery2013united-statespaper
PA-APIs: Looking beyond power intent specification formatsAmit Srivastava and Awashesh Kumar2015United StatesPapery2015united-statespaper
PA-APIs: Looking beyond power intent specification formatsAmit Srivastava and Awashesh Kumar2015United StatesPostery2015united-statesposter
Paged and Alternate View Registers in UVMKirti Srivastava, Harshit Kumar Baghel2017IndiaPresentationy2017indiapresentation
Panel: 5G Chip Design Challenges and their Impact on VerificationAccellera Systems Initiative2022EuropeVideoy2022europevideo
Panel: Are Processor/SoC Discontinuities Turning Verification on its Head?Accellera Systems Initiative2022EuropeVideoy2022europevideo
Panning for Gold in RTL Using TransactionsRich Edelman, Raghu Ardeishar, Akshay Sarup, and Suman Kasam2011United StatesPapery2011united-statespaper
Paper Session 1: Applicable to the Development Of Large-Scale Data Communication Chip Performance Analysis ToolsDinglai He, Guanfeng Wang, Feng Wang, and Lirong Zhang2021ChinaPapery2021chinapaper
Paper Session 2: UVM is Now IEEE1800.2-2020 Standard: A 2020 Adoption PrimerRoman Wang2021ChinaPapery2021chinapaper
Paper Session 3: Co-simulation platform of SystemC and System-Verilog for algorithm verificationLi Jinghui, Shao Haibo and Gou Jiazhen2021ChinaPapery2021chinapaper
Paper Session 4: Unified Automation Verification Management ApproachLiu Wenbo, Tian Libo, and Shao Haibo2021ChinaPapery2021chinapaper
Paper Session 5: Cache Coherency Verification for Multi-Core Processors Based on the PSSYang Yang2021ChinaPapery2021chinapaper
Paper Session 6: High Reliability Reset Domain Checking Solution for the Modern Soc DesignWanggen Shi, Yuxin You and Kurt Takara2021ChinaPapery2021chinapaper
Paper Session 7: Best Practice Coding Assertion IP (AIP) to Get More Predictable ResultsSJ Wu and Leon Yin2021ChinaPapery2021chinapaper
Paper Session 8: Advanced Techniques for Enabling Gate-level CDC Verification ClosureGunjan Jain, Kurt Takara, and Yuxin You2021ChinaPapery2021chinapaper
Paradigm Shift in Power Aware Simulation Using Formal TechniquesSachin Bansal, Girish Marudwar, Sandeep Jana, Kamalesh Ghosh, Yogananda Mesa2023IndiaPresentationy2023indiapresentation
Paradigm Shift in Power Aware Simulation Using Formal TechniquesSachin Bansal, Girish Marudwar, Sandeep Jana, Kamalesh Ghosh, Yogananda Mesa2023IndiaPapery2023indiapaper
Parallel Computing for Functional Verification and Compute Farms: The Holy MatrimonyAmit Sharma, Shekhar Basavanna, and Srinivasan Venkataramanan2011United StatesPapery2011united-statespaper
Parameter Passing From SystemVerilog to SystemCBishnupriya Bhattacharya, Samik Das, Zhiting Duan, Chandra Sekhar Katuri, and Pradipta Laha2015United StatesPresentationy2015united-statespresentation
Parameter Passing from SystemVerilog to SystemC for Highly Configurable Mixed-Language DesignsBishnupriya Bhattacharya, Samik Das, Zhiting Duan, Chandra Sekhar Katuri, and Pradipta Laha2015United StatesPapery2015united-statespaper
Parameterize Like a Pro: Handling Parameterized RTL in your UVM TestbenchJeff Montesano2020United StatesPresentationy2020united-statespresentation
Parameterized and Re-usable Jitter Model for Serial and Parallel InterfacesMalathi Chikkanna and Amlan Chakrabarti2015United StatesPresentationy2015united-statespresentation
Parameterized and Re-usable Jitter Model for Serial and Parallel InterfacesAmlan Chakrabarti and Malathi Chikkanna2015United StatesPapery2015united-statespaper
Parameterized and Re-usable Jitter Model for Serial and Parallel InterfacesAmlan Chakrabarti, Malathi Chikkanna2014IndiaPresentationy2014indiapresentation
Parameters and OVM — Can’t They Just Get Along?Bryan Ramirez and Michael Horn2011United StatesPapery2011united-statespaper
Parameters, UVM, Coverage & Emulation – Take Two and Call Me in the MorningMichael Horn, Bryan Ramirez, and Hans van der Schoot2016United StatesPapery2016united-statespaper
Part 9 An Efficient Methodology for Development of Cryptographic EnginesSandesh Kanchodu, Tarun Rajendra Mittal, Sachin Kashyap, Subramanian Parameswaran2022IndiaPresentationy2022indiapresentation
Path-based UPF Strategies: Optimally Manage Power on your DesignsProgyna Khondkar2022United StatesPresentationy2022united-statespresentation
Path-Based UPF Strategies: Optimally Manage Power on Your DesignsProgyna Khondkar2022United StatesPapery2022united-statespaper
Paving a Path to Hardware-Based Acceleration in a Single UVM Environment (Because there can be only one UVM environment!)Axel Scherer and Mark Azadpour2015EuropePapery2015europepaper
Pay Me Now or Pay Me Later Exploring the Implementation and Analysis Cost Trade-Offs of Coverage Model DesignPaul Graykowski and Andrew Piziali2011United StatesPapery2011united-statespaper
PCIe Gen5 Validation – The Real WorldYuan Chen2021ChinaPresentationy2021chinapresentation
Pedal Faster! Or Make Your Verification Environment More Efficient. You Choose.Rich Edelman, Raghu Ardeishar, and Rohit Jain2014EuropePapery2014europepaper
Pedal Faster! Or Make Your Verification Environment More Efficient. You Choose.Rich Edelman, Raghu Ardeishar, and Rohit Jain2014EuropePostery2014europeposter
Performance Analysis and Acceleration of High Bandwidth Memory SystemRohit Devidas Chavan, Jyoti Verma, Sekhar Dangudubiyyam2023IndiaPresentationy2023indiapresentation
Performance Analysis and Acceleration of High Bandwidth Memory SystemRohit Devidas Chavan, Jyoti Verma, Sekhar Dangudubiyyam2023IndiaPapery2023indiapaper
Performance modeling and timing verification for DRAM memory subsystemsThomas Schuster, Peter Prüller, and Christian Sauer2018EuropePapery2018europepaper
Performance modeling and timing verification for DRAM memory subsystemsThomas Schuster, Peter Prüller, and Christian Sauer2018EuropePresentationy2018europepresentation
Performance Modelling for the Control BackboneRaghav Tenneti, Padam Krishnani, Praveen Wadikar2017IndiaPresentationy2017indiapresentation
Performance Validation Strategy for collaborative SoC developments where two worlds meets in GDS onlyChirag Kedia and Rahul Gupta2021IndiaPostery2021indiaposter
Performance Validation Strategy for collaborative SoC developments where two worlds meets in GDS onlyChirag Kedia, Mukesh Ameria, and Rahul Gupta2021IndiaPresentationy2021indiapresentation
Performance Verification of a 6 Gbps HSlink Receiver Including Equalization and Clock Recovery Using Mixed Signal SimulationsAashish Ram Bhide, Abhishek Chowdhary, Alok Kaushik, and Vivek Uppal2014IndiaPostery2014indiaposter
Perspec System Verifier Overview 2015IndiaPresentationy2015indiapresentation
PHY IP Verification – Are Conventional Digital DV Techniques Sufficient?Somasunder Kattepura Sreenath2015IndiaPresentationy2015indiapresentation
Plan & Metric Driven Mixed-Signal Verification for Medical DevicesGregg Sarkinen2011United StatesPapery2011united-statespaper
Please! Can Someone Make UVM Easier to Use?Raghu Ardeishar, Rich Edelman2014IndiaPapery2014indiapaper
Please! Can Someone Make UVM Easy to Use?Rich Edelman, Raghu Ardeishar2014IndiaPresentationy2014indiapresentation
Plugging the Holes: SystemC and VHDL Functional Coverage MethodologyPankaj Singh and Gaurav Kumar Verma2011United StatesPapery2011united-statespaper
Portable Stimuli over UVM using portable stimuli in HW verification flowEfrat Shneydor, Slava Salnikov, Liran Kosovizer and Dr’ Shlomo Greenberg2019EuropePresentationy2019europepresentation
Portable Stimulus Driven SystemVerilog/UVM verification environment for the verification of a high-capacity Ethernet communication bridgeAndrei Vintila and Ionut Tolea2019United StatesPapery2019united-statespaper
Portable Stimulus Driven SystemVerilog/UVM verification environment for the verification of a high-capacity Ethernet communication bridgeAndrei Vintila and Ionut Tolea2019United StatesPresentationy2019united-statespresentation
Portable Stimulus Driven SystemVerilog/UVM verification environment for the verification of a high-capacity Ethernet communication endpointAndrei Vintila and Ionut Tolea2018EuropePapery2018europepaper
Portable Stimulus Models for C/SystemC, UVM and EmulationMike Andrews and Boris Hristov2015United StatesPapery2015united-statespaper
Portable Stimulus Models for C/SystemC, UVM and EmulationMike Andrews and Boris Hristov2015United StatesPresentationy2015united-statespresentation
Portable Stimulus Standard Update PSS in the Real WorldAccellera Portable Stimulus Working Group2022IndiaPresentationy2022indiapresentation
Portable Stimulus Standard Update: PSS in the Real WorldAccellera Portable Stimulus Working Group2022United StatesPresentationy2022united-statespresentation
Portable Stimulus Standard: The Promises and Pitfalls of Early AdoptionMike Bartley2019United StatesPresentationy2019united-statespresentation
Portable Stimulus Standard’s Hardware Software Interface (HSI) to validate 4G 5G Forward Error Correction Encoder/Decoder IP in Emulation SiliconJoydeep Maitra, Suresh Vasu, Nithin Venkatesh, Suhas Reddy, Luis Campos, Vinit Shenoy2022United StatesPresentationy2022united-statespresentation
Portable Stimulus vs Formal vs UVM: A Comparative Analysis of Verification Methodologies Throughout the Life of an IP BlockGaurav Bhatnagar2018United StatesPresentationy2018united-statespresentation
Portable Stimulus vs Formal vs UVM: A Comparative Analysis of Verification Methodologies Throughout the Life of an IP BlockGaurav Bhatnagar and David Brownell2018United StatesPapery2018united-statespaper
Portable Stimulus: What’s Coming in 1.1 and What it Means For YouPortable Stimulus Working Group2020United StatesPresentationy2020united-statespresentation
Portable Test and Stimulus StandardHiroshi Hosokawa2023JapanPresentationy2023japanpresentation
Portable Test and Stimulus: The Next Level of Verification Productivity is HereAccellera Portable Stimulus Working Group2018United StatesPresentationy2018united-statespresentation
Portable Test and Stimulus: The Next Level of Verification Productivity is HereTom Fitzpatrick and Sharon Rosenberg2018EuropePresentationy2018europepresentation
Post Silicon Performance Validation Using PSSDayoung Kim, Jaehun Lee, Daeseo Cha, Phu L. Huynh, and Jake Kim2020United StatesPresentationy2020united-statespresentation
Post-Silicon Performance Validation Using PSSDayoung Kim, Jaehun Lee, and Daeseo Cha2020United StatesPapery2020united-statespaper
Power Aware CDC Analysis at Top Level Using SOC Abstract FlowVenkatesh Ranga and Pramod Rajan K S2017United StatesPapery2017united-statespaper
Power Aware CDC Analysis at Top Level Using SOC Abstract FlowVenkatesh Ranga and Pramod Rajan K S2017United StatesPostery2017united-statesposter
Power Aware CDC Verification at RTL for Faster SoC Verification ClosureAnindya Chakraborty, Naman Jain, Saumitra Goel2014IndiaPapery2014indiapaper
Power Aware CDC Verification of Dynamic Frequency and Voltage Scaling (DVFS) ArtifactsMark Handover, Jonathan Lovett, and Kurt Takara2015EuropePapery2015europepaper
Power Aware CDC Verification of Dynamic Frequency and Voltage Scaling (DVFS) ArtifactsMark Handover, Jonathan Lovett, and Kurt Takara2015EuropePresentationy2015europepresentation
Power Aware Models: Overcoming barriers in Power Aware SimulationMohit Jain, Amit Singh, J.S.S.S. Bharath, Amit Srivastava, and Bharti Jain2014EuropePapery2014europepaper
Power Aware Models: Overcoming barriers in Power Aware SimulationMohit Jain, Amit Singh, J.S.S.S. Bharath, Amit Srivastava, and Bharti Jain2014EuropePresentationy2014europepresentation
Power Aware Verification Strategy for SoCsBoobalan Anantharaman and Arunkumar Narayanamurthy2013United StatesPapery2013united-statespaper
Power Aware Verification Strategy for SoCsBoobalan Anantharaman and Arunkumar Narayanamurthy2013United StatesPresentationy2013united-statespresentation
Power estimation – what to expect what not to expectPrakash Parikh2014United StatesPresentationy2014united-statespresentation
Power Estimation Techniques – what to expect, what not to expectPrakash Parikh2014United StatesPapery2014united-statespaper
Power Management Verification for SoC ICsDavid Akselrod, Alex Miretsky, Sergey Golubkov, Pearl Liu, Afshin Parvaresh, Shu Wang, and Feng Yan2016United StatesPostery2016united-statesposter
Power Management Verification for SOC ICsDavid Akselrod, Alex Miretsky, Sergey Golubkov, Pearl Liu, Afshin Parvaresh, Shu Wang, and Feng Yan2016United StatesPapery2016united-statespaper
Power models & Terminal Boundary: Get your IP Ready for Low PowerProgyna K., William W., Phil G., Brandon S.2023United StatesPresentationy2023united-statespresentation
Power Models and Terminal Boundary: Get your IP Ready for Low PowerProgyna Khondkar, William Winkeler, Phil Giangarra and Brandon Skaggs2023United StatesPapery2023united-statespaper
Power State to PST Conversion: Simplifying static analysis and debugging of power aware designsMadhur Bhargava and Pankaj Gairola2016United StatesPapery2016united-statespaper
Power State to PST Conversion: Simplifying static analysis and debugging of power aware designsMadhur Bhargava and Pankaj Gairola2016United StatesPostery2016united-statesposter
Power-Aware CDC Verification at RTL for Faster SoC Verification ClosureAnindya Chakraborty, Naman Jain, Saumitra Goel2014IndiaPresentationy2014indiapresentation
Power-Aware Verification in Mixed-Signal SimulationAtul Pandey, Mattias Welponer, and Gregor Kowalczyk2014EuropePapery2014europepaper
Power-Aware Verification in Mixed-Signal SimulationAtul Pandey, Mattias Welponer, and Gregor Kowalczyk2014EuropePresentationy2014europepresentation
Practical Applications of the Portable Testing and Stimulus Standard (PSS)Sharon Rosenberg2019United StatesPresentationy2019united-statespresentation
Practical Approach Using a Formal App to Detect X-Optimism-Related RTL BugsShuqing Zhao, Shan Yan, and Yafang Feng2014United StatesPapery2014united-statespaper
Practical Approach Using a Formal App to Detect X-Optimism-Related RTL BugsShuqing Zhao, Shan Yan, and Yafang Feng2014United StatesPresentationy2014united-statespresentation
Practical Considerations for Real Valued Modeling of High Performance Analog SystemsDushyant Juneja, Siddharth Prabhu, and Syam Veluri2016United StatesPresentationy2016united-statespresentation
Practical Considerations for Real Valued Modeling of High Performance Analog SystemsDushyant Juneja, Siddharth Prabhu, and Syam Veluri2016United StatesPostery2016united-statesposter
Practical Considerations for Real Valued Modeling of High Performance Analog SystemsDushyant Juneja, Siddharth Prabhu, and Syam Veluri2016United StatesPapery2016united-statespaper
Practical Considerations for Real Valued Modeling of High Performance Analog SystemsDushyant Juneja, Siddharth Prabhu, and Syam Veluri2016United StatesPapery2016united-statespaper
Practical Experience in Automatic Functional Coverage Convergence and Reusable Collection Infrastructure in UVMRoman Wang, Suresh Babu Pusphaparaj, Mike Bartley2014EuropePresentationy2014europepresentation
Practical experience in automatic functional coverage convergence and reusable collection infrastructure in UVM verificationRoman Wang, Suresh Babu Pusphaparaj2014EuropePapery2014europepaper
Practical experience in automatic functional coverage convergence and reusable collection infrastructure in UVM verificationRoman Wang and Suresh Babu Pusphaparaj2014EuropePresentationy2014europepresentation
Practical Issues in Implementing Fast and Accurate SystemC-Constructed Virtual Platform SimulationYu-Fu Yeh and Chung-Yang (Ric) Huang2013United StatesPapery2013united-statespaper
Practical Issues in Implementing Fast and Accurate SystemC-Constructed Virtual Platform SimulationYu-Fu Yeh and Chung-Yang (Ric) Huang2013United StatesPresentationy2013united-statespresentation
Practical Scheme to Enhance Verification Turn-Around-Time by Using Reusable Harness Interface (RHI)Jongpil Jung, Hyunju Lee, Jaejin Ha, and Yonghee Im2018United StatesPapery2018united-statespaper
Practical Scheme to Enhance Verification Turn-Around-Time by Using Reusable Harness Interface (RHI)Jong pil Jung, Hyunju Lee, Jaejin Ha, and Yonghee Im2018United StatesPresentationy2018united-statespresentation
PRACTICAL SCHEMES TO ENHANCE VERTICAL, HORIZONTAL AND PLATFORM REUSABILITY OF VERIFICATION COMPONENTS IN AMBA BASED SOC DESIGNIeryung Park, Nara Cho, and Yonghee Im2017United StatesPapery2017united-statespaper
Practical Schemes to Enhance Vertical, Horizontal and Platform Reusability of Verification Components in AMBA Based SoC DesignIeryung Park, Nara Cho and Yonghee Im2017United StatesPresentationy2017united-statespresentation
Pragmatic Formal Verification of Sequential Error Detection and Correction Codes (ECCs) used in Safety-Critical DesignAman Kumar2023United StatesPostery2023united-statesposter
Pragmatic Formal Verification of Sequential Error Detection and Correction Codes (ECCs) used in Safety-Critical DesignAman Kumar2023United StatesPapery2023united-statespaper
Pragmatic Verification Reuse in a Vertical WorldMark Litterick2013United StatesPapery2013united-statespaper
Pragmatic Verification Reuse in a Vertical WorldMark Litterick2013United StatesPostery2013united-statesposter
Pre-Silicon Debug Automation Using Transaction Tagging and Data-MiningKamalesh V, Senthilkumar N, Kaustubh G, Deepak S2014IndiaPostery2014indiaposter
Pre-Silicon Debug Automation using Transaction Tagging and Data-MiningKamalesh Vikramsimhan, SenthilKumar Narayanaswamy, Deepak Sadasivam, Kaustubh Godbole2014IndiaPapery2014indiapaper
Pre-Silicon Host-based Unit Testing of Driver Software using SystemC ModelsAravinda Thimmapuram, Somarka Chakravarti, Tamal Saha, Rathina Thalaiappan2015IndiaPresentationy2015indiapresentation
Pre-Silicon Power Management Verification of Complex SOCs: Experiences with Intel MoorefieldRajeev Muralidhar, Nivedha Krishnakumar, Bryan Morgan, Robert Karas, Billy Dennie, and Neil Rosenberg2016United StatesPapery2016united-statespaper
Pre-Silicon Validation of Production BIOS, Software Use Cases and Accelerator IP Workloads using Hybrid System Level Emulation SoC PlatformNeeraj Gupta, Reddaiah Yedoti, Dixit Sethi, and Sarvesh Kumar Pandey2022United StatesPostery2022united-statesposter
Pre-Silicon Validation of Production BIOS, Software Use Cases and Accelerator IP Workloads Using Hybrid System Level Emulation SoC PlatformNeeraj Gupta, Reddaiah Yedoti, Dixit Sethi, and Sarvesh Kumar Pandey2022United StatesPapery2022united-statespaper
Predicting Bad CommitsChristian Graber, Daniel Hansson, and Adam Tornhill2019United StatesPostery2019united-statesposter
Preventing Chip-Killing Glitches on CDC Paths with Automated Formal AnalysisJackie Hsiung, Ashish Hari, and Sulabh Kumar Khare2018United StatesPapery2018united-statespaper
Preventing Chip-Killing Glitches on CDC Paths with Automated Formal AnalysisJackie Hsiung, Sulabh Kumar Khare, and Ashish Hari2018United StatesPostery2018united-statesposter
Preventing Glitch Nightmares on CDC Paths: The Three WitchesJian-Hua Yan, Ping Yeung, Stewart Li, Sulabh-Kumar Khare2021United StatesPapery2021united-statespaper
Primary, Anonymous, or What? The Destiny of Ports from Design Top that Ultimately are Driven from Off-Chip…Brandon Skaggs, Progyna Khondkar2021United StatesPapery2021united-statespaper
Probing UPF Dynamic Objects: Methodologies to Build Your Custom Low-Power VerificationProgyna Khondkar2020EuropePoster, Presentationy2020europeposter presentation
Probing UPF Dynamic Objects: Methodologies to Build Your Custom Low-Power Verification PlatformProgyna Khondkar2020EuropePapery2020europepaper
Problematic Bi-Directional Port Connections: How Well is Your Simulator Filling the UPF LRM Void?Brandon Skaggs2022United StatesPresentationy2022united-statespresentation
Problematic Bi-Directional Port Connections: How Well Is Your Simulator Filling the UPF LRM Void?Brandon Skaggs2022United StatesPapery2022united-statespaper
Processing deliberate verification errors during regressionAlastair Lefley, Roger Witlox, Clemens Süßmuth, Thomas Ziller, and Kawe Fotouhi2018EuropePresentationy2018europepresentation
Processing deliberate verification errors during regressionAlastair Lefley, Roger Witlox, Clemens Süßmuth, Thomas Ziller and Kawe Fotouhi2019EuropePresentationy2019europepresentation
Product Life Cycle of an Interconnect Bus: A Portable Stimulus Methodology for Performance Modeling, Design Verification, and Post-Silicon ValidationGaurav Bhatnagar and Courtney Fricano2019United StatesPapery2019united-statespaper
Product Life Cycle of Interconnect Bus:A Portable Stimulus Methodology for Performance Modeling, Design Verification, and Post-Silicon ValidationGaurav Bhatnagar and Courtney Fricano2019United StatesPresentationy2019united-statespresentation
Profiling Virtual Prototypes: Simulation Performance Analysis & OptimizationSandeep Jain2019IndiaPresentationy2019indiapresentation
Profiling Virtual Prototypes: Simulation Performance Analysis & OptimizationSandeep Jain2019IndiaPapery2019indiapaper
Programmable Analysis of RISC-V Processor Simulations using WALLucas Klemmer, Eyck Jentzsch, Daniel Große2022EuropePapery2022europepaper
Programming Model Inheritance and Sequence ReuseAji Varghese2016United StatesPapery2016united-statespaper
Proper probing: Flexibility on the TLM levelGergő V kony2018United StatesPapery2018united-statespaper
Proper Probing: Flexibility on the TLM LevelGergö Vékony2018United StatesPostery2018united-statesposter
Property-Driven Development of a RISC-V CPUTobias Ludwig, Michael Schwarz, Joakim Urdahl, Lucas Deutschmann, Salaheddin Hetalani, Dominik Stoffel, and Wolfgang Kunz2019United StatesPapery2019united-statespaper
Property-Driven Development of a RISC-V CPUTobias Ludwig2019United StatesPresentationy2019united-statespresentation
PropGen: An Automated Flow to Generate SVA Properties for Formal and Simulation methodsAmith Shambhu, Vishal Dalal, Basavaraj Naik2023IndiaPresentationy2023indiapresentation
PropGen: An Automated Flow to Generate SVA Properties for Formal and Simulation methodsAmith Shambhu, Vishal Dalal, Basavaraj Naik2023IndiaPapery2023indiapaper
Protocol verification of an IEEE 802.3bw PHY Application of mixed signal extensions to an UVM verification environmentJoen Westendorp and Marcel Oosterhuis2018EuropePapery2018europepaper
Prototyping Next-Gen Tegra SoCSivarama Prasad Valluri, Ramanan Sanjeevi Krishnan2015IndiaPresentationy2015indiapresentation
Proven Strategies for Better Verification Planning: DVCon 2022 WorkshopPaul Marriott, Jeff Vance, and Jeff McNeal 2022United StatesPresentationy2022united-statespresentation
Pseudo-LRU Not Efficient in Real World? Use Formal Verification to Bridge the GapParas Gupta, Sachin Kumawat, and Kevin Bhensdadiya2023IndiaPresentationy2023indiapresentation
Pseudo-LRU Not Efficient in Real World? Use Formal Verification to Bridge the GapParas Gupta, Sachin Kumawat, and Kevin Bhensdadiya2023IndiaPapery2023indiapaper
PSL/SVA Assertions in SPICEDonald O’Riordan and Prabal Bhattacharya2012United StatesPapery2012united-statespaper
PSS action sequence modeling using Machine LearningMoonki Jang2022JapanPresentationy2022japanpresentation
PSS Action Sequence Modeling Using Machine LearningMoonki Jang2022United StatesPresentationy2022united-statespresentation
PSS Action Sequence Modeling Using Machine LearningMoonki Jang, Myeongwhan Hyun, Hyunkyu Ahn, Jiwoong Kim, Yunwhan Kim, and Dongjoo Kim2022United StatesPapery2022united-statespaper
PSS: The Promises and Pitfalls of Early AdoptionMike Bartley2019United StatesPapery2019united-statespaper
Pushbutton Complete IP GenerationFreddy Nunez2023United StatesPresentationy2023united-statespresentation
Python empowered GLS Bringup VehicleDebarati Banerjee, Nikhil Singla, Shantha B, Pandithurai Sangaiyah2023IndiaPresentationy2023indiapresentation
Python empowered GLS Bringup VehicleDebarati Banerjee, Nikhil Singla, Shantha B, Pandithurai Sangaiyah2023IndiaPapery2023indiapaper
Pythonized SystemC A non-intrusive scripting approachEyck Jentzsch and Rocco Jonack2019EuropePresentationy2019europepresentation
QED & Symbolic QED Pre-silicon Verification, Post-silicon Validation, Industrial ResultsSubhasish Mitra, Eshan Singh and K. Devarajegowda2019EuropePresentationy2019europepresentation
Qualification of a Verification IP under Requirement Based Verification standards An approach to the verification of the verificationFrancois Cerisier, Adrien Carmagnat, Alessandro Basili, and Gilles Curchod2018EuropePapery2018europepaper
Qualification of Formal Properties for Productive Automotive Microcontroller VerificationHolger Busch2013United StatesPapery2013united-statespaper
Quantification of Formal Properties for Productive Automotive Microcontroller VerificationHolger Busch2013United StatesPresentationy2013united-statespresentation
Quiescent Formal Checks (QFC) for Detecting Deep Design Bugs – Sooner and FasterSomesh Mishra, Mayank, Kumar, Ketan Mishra, Anshul Jain, Bharath Varma Gottumukkala2023IndiaPresentationy2023indiapresentation
Quiescent Formal Checks (QFC) for Detecting Deep Design Bugs – Sooner and FasterSomesh Mishra, Mayank, Kumar, Ketan Mishra, Anshul Jain, Bharath Varma Gottumukkala2023IndiaPapery2023indiapaper
Raising the Bar: Achieving Formal Verification Sign-Off for Complex Algorithmic Designs, with a Dot Product Accumulate Case StudyDisha Puri, Madhurima Eranki, Shravya Jampana2023IndiaPresentationy2023indiapresentation
Raising the Bar: Achieving Formal Verification Sign-Off for Complex Algorithmic Designs, with a Dot Product Accumulate Case StudyDisha Puri, Madhurima Eranki, Shravya Jampana2023IndiaPapery2023indiapaper
Raising the level of Formal Signoff with End to End Checking MethodologyPing Yeung, Arun Khurana, Dhruv Gupta, Ashutosh Prasad, Achin Mittal2022United StatesPresentationy2022united-statespresentation
Raising the Level of Formal Signoff with End-to-End Checking MethodologyPing Yeung, Arun Khurana, Dhruv Gupta, Ashutosh Prasad, and Achin Mittal2022United StatesPapery2022united-statespaper
Raising the level of Formal Signoff with End-to-End Checking MethodologyPing Yeung, Arun Khurana, Dhruv Gupta, Ashutosh Prasad, Achin Mittal2022JapanPapery2022japanpaper
Raising the level of Formal Signoff with End-to-End Checking MethodologyPing Yeung, Arun Khurana, Dhruv Gupta, Ashutosh Prasad, Achin Mittal2022JapanPresentationy2022japanpresentation
Random Directed Low Power Coverage Methodology: A Smart Approach to Power Aware Verification ClosureAwashesh Kumar and Madhur Bhargava2017United StatesPapery2017united-statespaper
Random Directed Low Power Coverage Methodology:(A Smart Approach to Power Aware Verification Closure)Awashesh Kumar and Madhur Bhargava2017United StatesPresentationy2017united-statespresentation
Random Stimuli Models for UVM RegistersJacob Sander Andersen, Lars Viklund and Laura Montero2019EuropePresentationy2019europepresentation
Random Testcase Generation and Verification of Debug Unit for a RISC-V Processor CoreSneha Mishra, Lu Hao, Ajay Sharma, Afshan Anjum, Lucia Franco, Sourav Roy, Jeff Scott2023United StatesPapery2023united-statespaper
Random Testcase Generation and Verification of Debug Unit for a RISC-V Processor CoreSneha Mishra, Lu Hao, Ajay Sharma, Afshan Anjum, Lucia Franco, Sourav Roy, Jeff Scott2023United StatesPresentationy2023united-statespresentation
Randomizing UVM Config DB ParametersJeremy Ridgeway2015United StatesPapery2015united-statespaper
Randomizing UVM Config DB ParametersJeremy Ridgeway2015United StatesPostery2015united-statesposter
Rapid Virtual Prototype Model development using UML and IP-XACT SystemC Code GenerationDeepak S Kurapati and Aravinda Thimmapuram2014IndiaPapery2014indiapaper
Real Number ModelingTom Cole, Wes Queen, Mark Kautzman, and Dan Romaine2013United StatesPapery2013united-statespaper
Real Number Modeling Enables Fast, Accurate Functional VerificationWes Queen, Tom Cole, and Dan Romaine2013United StatesPostery2013united-statesposter
Real Number Modeling for RF CircuitsJakub Dudek, Joshua Nekl and Keith O’Donoghue2017United StatesPresentationy2017united-statespresentation
Real Number Modeling of RF CircuitsJakub Dudek, Joshua Nekl, and Keith O’Donoghue2017United StatesPapery2017united-statespaper
Reboot your Reset Methodology: Resetting Anytime with the UVM Reset PackageCourtney Schmitt, Phu Huynh, Stephanie McInnis, and Uwe Simm2014EuropePapery2014europepaper
Reboot your Reset Methodology: Resetting Anytime with the UVM Reset PackageCourtney Schmitt, Phu Huynh, Stephanie McInnis, and Uwe Simm2014EuropePresentationy2014europepresentation
Recipe for bug hunting: Tips & Tricks for Low power silicon sign-offMonika Rawat, Dipankar Narendra Arya, Anuroop R, Deepmala Sachan2021United StatesPapery2021united-statespaper
Recipes for Better Simulation Acceleration PerformanceVijayakrishnan Rousseau, Gaurang Nagrecha2015IndiaPresentationy2015indiapresentation
Reconfigurable Radio Design and VerificationVladimir Ivanov, Markus Mueck, Seungwon Choi2015IndiaPresentationy2015indiapresentation
Reducing simulation life cycle time of Fault Simulations using Artificial Intelligence and Machine Learning techniques on Big datasetDarshan Sarode, Pratham Khande, Priyanka Gharat2023JapanPresentationy2023japanpresentation
Reducing the simulation life cycle time of Fault Simulations using Artificial Intelligence and Machine Learning techniques on Big Data datasetDarshan Sarode, Pratham Khande, Priyanka Gharat2023JapanPapery2023japanpaper
Reducing the simulation life cycle time using Artificial Intelligence and Machine learning techniques on Big Data datasetDarshan Sarod, Pratham Khande, Gopi Srinivas Deepala, Priyanka Gharat, Avnita Pal2023TaiwanPapery2023taiwanpaper
Refining Successive Refinement: Improving a Methodology for Incremental Specification of Power IntentDesinghu PS, Adnan Khan, Erich Marschner, and Gabriel Chidolue2015EuropePapery2015europepaper
Refining Successive Refinement: Improving a Methodology for Incremental Specification of Power IntentErich Marschner and Gabriel Chidolue2015EuropePresentationy2015europepresentation
RegAnalyzer – A tool for programming analysis and debug for verification and validationSuresh Vasu2020United StatesPostery2020united-statesposter
RegAnalyzer -A tool for programming analysis and debug for verification and validationSuresh Vasu2020United StatesPapery2020united-statespaper
Register Modeling – Exploring Fields, Registers and Address MapsRich Edelman2022JapanPresentationy2022japanpresentation
Register Modeling – Exploring Fields, Registers and Address MapsRich Edelman2022JapanPapery2022japanpaper
Register Testing – Exploring Tests, Register Model Libraries, Sequences and Backdoor AccessRich Edelman2022EuropePresentationy2022europepresentation
Register Testing – Exploring Tests, Register Model Libraries, Sequences and Backdoor AccessRich Edelman2022EuropePapery2022europepaper
Register This! Experiences Applying UVM RegistersSharon Rosenberg2012United StatesPapery2012united-statespaper
Register This! Experiences Applying UVM RegistersKathleen Meade2012United StatesPresentationy2012united-statespresentation
Register Verification: Do We Have Reliable Specification?NamDo Kim, Junhyuk Park, Byeong Min, and Wesley Park2013United StatesPapery2013united-statespaper
Register Verification: Do We Have Reliable Specification?NamDo Kim, Junhyuk Park, Byeong Min, and Wesley Park2013United StatesPostery2013united-statesposter
Registering the standard: Migrating to the UVM_REG code baseSachin Patel, Amit Sharma, and Adiel Khan2012United StatesPapery2012united-statespaper
Regressions in the 21st Century – Tools for Global SurveillanceDavid Crutchfield, Tushar Grupta, Frank Roberts, and Venkataramanan Srinivasan2016United StatesPapery2016united-statespaper
Regressions in the 21st Century – Tools for Global SurveillanceDavid Crutchfield, Tushar Grupta, Frank Roberts, and Venkataramanan Srinivasan2016United StatesPresentationy2016united-statespresentation
Regvue Modern Hardware/Software Interface (HSI) DocumentationRob Donnelly, Josh Geden2023United StatesPapery2023united-statespaper
Regvue Modern Hardware/Software Interface DocumentationRob Donnelly, Josh Geden2023United StatesPresentationy2023united-statespresentation
Relieving the Parameterized Coverage HeadacheChristine Lovett2012United StatesPresentationy2012united-statespresentation
Relieving the Parameterized Coverage HeadacheChristine Lovett, Bryan Ramirez, Stacey Secatch, and Michael Horn2012United StatesPapery2012united-statespaper
Requirement Driven Safety VerificationRanga Kadambi, Vladimir Litovtchenko, Jens Rosenbusch, and Antonio Vilela2016EuropePresentationy2016europepresentation
Requirement Driven Safety VerificationRanga Kadambi, Vladimir Litovtchenko, Jens Rosenbusch, and Antonio Vilela2016EuropePapery2016europepaper
Requirements Driven Design Verification Flow TutorialAteş Berna and Ahmet Jorghanxhi 2018EuropePresentationy2018europepresentation
Requirements driven Verification methodology (for standards compliance)Serrie-Justine Chapman, Darren Galpin, and Mike Bart2014EuropePapery2014europepaper
Requirements driven Verification methodology (for standards compliance)Serrie-justine Chapman, Mike Bartley, and Darren Galpin 2014EuropePresentationy2014europepresentation
Requirements-driven Verification Methodology for Standards ComplianceSerrie-justine Chapman and Mike Bartley2014EuropePresentationy2014europepresentation
Reset and Initialization, the Good, the Bad and the UglyPing Yeung and Kaowen Liu2016United StatesPapery2016united-statespaper
Reset and Initialization, the Good, the Bad and the UglyPing Yeung and Kaowen Liu2016United StatesPostery2016united-statesposter
Reset and Initialization: the Good, the Bad and the UglyPing Yeung and Kaowen Liu2016United StatesPresentationy2016united-statespresentation
Reset Domain Crossing for designs with set-reset flopsAbdul Moyeen, Inayat Ali2021United StatesPapery2021united-statespaper
Reset Verification using formal toolArju Khatun, Shiva Nagendar Pokala2022IndiaPostery2022indiaposter
Reset Your Reset Domain Crossing (RDC) Verification with Machine LearningMark Handover2022EuropePapery2022europepaper
Reset Your Reset Domain Crossing (RDC) Verification with Machine LearningMark Handover2022EuropePostery2022europeposter
Reset Your Reset Domain Crossing (RDC) Verification with Machine LearningMark Handover2022EuropePresentationy2022europepresentation
Resetting Anytime with the Cadence UVM Reset PackageCourtney Schmitt, Phu Huynh, Stephanie McInnis, and Uwe Simm2014United StatesPapery2014united-statespaper
Resetting Anytime with the Cadence UVM Reset PackageCourtney Schmitt, Phu Huynh, Stephanie McInnis, and Uwe Simm2014United StatesPostery2014united-statesposter
Resetting RDC ExpectationsEamonn Quigley, Jonathan Niven, Mark Handover2021EuropePapery2021europepaper
Responding to TAT Improvement Challenge Through Testbench Configurability and Re-useAkhila M, Kartik Jain, Renuka Devi, Mukesh Bhartiya2014IndiaPresentationy2014indiapresentation
Responding to TAT Improvement Challenge through Testbench Configurability and Re-useKartik Jain, Renuka Devi Nagarajan, M Akhila, Mukesh Bhartiya2014IndiaPapery2014indiapaper
Results Checking Strategies with Portable StimulusTom Fitzpatrick and Matthew Ballance2019EuropePresentationy2019europepresentation
Results Checking Strategies with Portable StimulusTom Fitzpatrick and Matthew Balance2019United StatesPresentationy2019united-statespresentation
Results Checking Strategies with Portable StimulusTom Fitzpatrick and Matthew Ballance2019United StatesPapery2019united-statespaper
Retention based low power DV challenges in DDR SystemsSubhash Joshi, Sangaiyah Pandithurai, and Halavarthi Math Revana Siddesh2014IndiaPapery2014indiapaper
Retrascope: Open-Source Model Checkerfor HDL DescriptionsAlexander Kamkin, Mikhail Lebedev and Sergey Smolov2019EuropePresentationy2019europepresentation
Retrascope: Open-Source Model Checkerfor HDL DescriptionsAlexander Kamkin, Mikhail Lebedev, and Sergey Smolov2018EuropePresentationy2018europepresentation
Retry with Confidence: Use Formal Property Verification to Verify Link Layer Retry (LLR) Mechanism of Compute Express Link (CXL)Anshul Jain, Aman Vyas, Binal Sodavadia, Sava Krstic, Achutha KiranKumar V M2022IndiaPresentationy2022indiapresentation
Reusable DPI flow across Verification, Validation & SWPrasad Haldule, Pushkar Naik2017IndiaPresentationy2017indiapresentation
Reusable Processor Verification Methodology Based on UVMMustafa Khairallah and Maged Ghoneima2014EuropePapery2014europepaper
Reusable Processor Verification Methodology Based on UVMMustafa Khairallah and Maged Ghoneima2014EuropePostery2014europeposter
Reusable System-Level Power-Aware IP Modeling ApproachAntonio Genov, Francois Verdier, and Loic Leconte2022United StatesPapery2022united-statespaper
REUSABLE UPF: Transitioning from RTL to Gate Level VerificationDurgesh Prasad, Jitesh Bansal, and Madhur Bhargava2018United StatesPapery2018united-statespaper
REUSABLE UPF: Transitioning from RTL to Gate Level VerificationDurgesh Prasad, Jitesh Bansal, and Madhur Bhargava2018United StatesPostery2018united-statesposter
Reusable UVM_REG Backdoor AutomationBalasubramanian G., Allan Peeters, Bob Blais2014IndiaPapery2014indiapaper
Reusable UVM_REG Backdoor AutomationBalasubramanian G., Allan Peeters, Bob Blais2014IndiaPresentationy2014indiapresentation
Reusable Verification Environment for a RISC-V Vector AcceleratorR. Ignacio Genovese, Josue Quiroga, Ivan Diaz, Henrique Yano, Asif Ali, Nehir Sonmez, Oscar Palomar, Victor Jimenez, Mario Rodriguez, Marc Dominguez2022EuropePresentationy2022europepresentation
Reusable Verification Environment for a RISC-V Vector AcceleratorJosue Quiroga, Roberto Ignacio Genovese, Ivan Diaz, Henrique Yano, Asif Ali, Nehir Sonmez, Oscar Palomar, Victor Jimenez, Mario Rodriguez, Marc Dominguez2022EuropePapery2022europepaper
Reuse C test and UVM sequence utilizing TLM2, register model and interrupt handlerLiu HongLiang and Gao Teng-Fei2015United StatesPapery2015united-statespaper
Reuse C test and UVM sequence utilizing TLM2, register model and interrupt handlerHongLiang Liu and Teng-Fei Gao2015United StatesPresentationy2015united-statespresentation
Reuse doesn’t come for free – learnings from a UVM deploymentSumeet Gulati, Srinivasan Venkataramanan, Azhar Ahammad, Ketki Gosavi, and Saumya Anvekar2016EuropePapery2016europepaper
Reuse doesn’t come for free – learnings from a UVM deploymentSumeet Gulati, Srinivasan Venkataramanan, Ketki Gosavi, Saumya Anvekar, and Azhar Ahammad2016EuropePresentationy2016europepresentation
Reuse of System-Level Verification Components within Chip-Level UVM EnvironmentsDiego Alagna, Marzia Annovazzi, Alessandro Cannone, Marcello Raimondi, Simone Saracino, Mukesh Chugh, Marc Erickson, Cristian Macario, Giuseppe Ridinò2021EuropePapery2021europepaper
Reusing Sequences in a Multi-Language environment using UVM-ML OAHannes Fröhlich, Kishore Sur2014IndiaPostery2014indiaposter
Reusing Testbench Components in a Hybrid Simulation-Formal EnvironmentRitero Chi and Xiaolin Chen2010United StatesPapery2010united-statespaper
Reusing UVM Test Benches in a Cycle SimulatorKristina Hager, Carter Alvord, Andrew Lynch, and Umer Yousafzai2014United StatesPresentationy2014united-statespresentation
Reusing UVM Testbenches in a Cycle SimulatorKristina Hager, Andrew Lynch, Umer Yousafzai, and Carter Alvord2014United StatesPapery2014united-statespaper
Revitalizing Automotive Safety Hard and Soft Error ApproachesNael Qudsi and Ayman Mouallem2019EuropePresentationy2019europepresentation
Revolutionary Debug Techniques to Improve Verification ProductivityNadav Chazan2014EuropePresentationy2014europepresentation
Revolutionizing Proof Convergence for Algorithmic Designs: Combining Multiple Formal Verification ToolsSuraj Kamble, Rajib Lochan Jana, Disha Puri2023IndiaPresentationy2023indiapresentation
Revolutionizing Proof Convergence for Algorithmic Designs: Combining Multiple Formal Verification ToolsSuraj Kamble, Rajib Lochan Jana, Disha Puri2023IndiaPapery2023indiapaper
Richard Weber, Jamsheed Agahi, Josh Rensch, Eric SherkPratik Parvati2023United StatesPresentationy2023united-statespresentation
RISC-V Compliance & Verification Techniques Processor Cores and Custom ExtensionsSimon Davidmann, Lee Moore, Richard Ho, Doug Letcher and Kevin McDermott2019EuropePresentationy2019europepresentation
RISC-V Integrity: A Guide for Developers and IntegratorsNicolae Tusinschi2019EuropePresentationy2019europepresentation
RISC-V Processor Verification: Case StudyAdi Maymon, Shay Harari, Lee Moore, Larry Lapides2021United StatesPapery2021united-statespaper
RISC-V Security Verification using Perspec/Portable StimulusJunxia Wang, Siyan. Li, Leven. Li, A T S Prasad, Kiran Kumar Palla, Yung Cheng Chen2023United StatesPapery2023united-statespaper
Robust Low Power Verification Strategy for a Complex 3DIC System with Split Power Management ArchitectureAtiq Jamadar, Ayush Agrawal, Subramanian R, Sekhar Dangudubiyyam2023IndiaPresentationy2023indiapresentation
Robust Low Power Verification Strategy for a Complex 3DIC System with Split Power Management ArchitectureAtiq Jamadar, Ayush Agrawal, Subramanian R, Sekhar Dangudubiyyam2023IndiaPapery2023indiapaper
Robust Physical Layer IP Verification for DDR4-3DS Memory by Channel ModellingAditya S Kumar, Gowdra Bomanna Chethan, Shivani Maurya, Kumar Rajeev Ranjan, Anil Deshpande, Somasunder Kattepura Sreenath2021United StatesPapery2021united-statespaper
Rockin’ the Polymorphism for an Elegant UVM Testbench ArchitectureMike Baird and Frank Verhoorn2018United StatesPostery2018united-statesposter
Rockin’ the polymorphism for an Elegant UVM testbench Architecture for a Scalable, Highly Configurable, Extensible DUTMichael Baird and Frank Verhoorn2018United StatesPapery2018united-statespaper
Rolling the dice with random instructions is the safe bet on RISC-V verificationSimon Davidmann and Lee Moore, Richard Ho and Tao Liu, and Doug Letcher and Aimee Sutton2020United StatesPresentationy2020united-statespresentation
RTL Quality for TLM ModelsPreeti Sharma 2014IndiaPapery2014indiapaper
RTL2RTL Formal Equivalence: Boosting the Design ConfidenceM. Achutha KiranKumar V, Aarti Gupta, and Ss. Bindumadhava2014EuropePapery2014europepaper
RTL2RTL Formal Equivalence: Boosting the Design ConfidenceM. Achutha KiranKumar V, Aarti Gupta, and Ss. Bindumadhava2014EuropePostery2014europeposter
Run-time Configuration of a Verification Environment – A Novel Use of the OVM/UVM Analysis PatternPaul Marriott and Mark Ronan2013United StatesPapery2013united-statespaper
Run-Time Configuration of a Verification Environment: A Novel Use of the OVM/UVM Analysis PatternPaul Marriott2013United StatesPostery2013united-statesposter
Run-Time Phasing in UVM: Ready for the Big Time or Dead in the Water?John Aynsley2015United StatesPapery2015united-statespaper
Run-Time Phasing in UVM: Ready for the Big Time or Dead in the Water?John Aynsley2015United StatesPresentationy2015united-statespresentation
Runtime Fault-Injection Tool for Executable SystemC ModelsBogdan-Andrei Tabacaru, Moomen Chaari, Wolfgang Ecker, and Thomas Kruse2014IndiaPapery2014indiapaper
Safety and Security Aware Pre-silicon Concurrent Software Development and VerificationFrank Schirrmeister, Joe Fabbre, and Max Hinson2020United StatesPostery2020united-statesposter
Safety and Security Aware Pre-silicon Concurrent Software Development and VerificationFrank Schirrmeister, Joe Fabbre, and Max Hinson2020United StatesPapery2020united-statespaper
Safety and Security Aware Pre-Silicon Hardware / Software Co-DevelopmentNikola Velinov and Frank Schirrmeister2019EuropePresentationy2019europepresentation
Safety-Verification Flow Sporting Gate-Level Accuracy and Near Virtual-Prototype SpeedB.-A. Tabacaru, M. Chaari, W. Ecker, T. Kruse, and C. Novello2016EuropePresentationy2016europepresentation
Safety-Verification Flow Sporting Gate-Level Accuracy and Near Virtual-Prototype SpeedBogdan-Andrei Tabacaru, Moomen Chaari, Wolfgang Ecker, Thomas Kruse, and Cristiano Novello2016EuropePapery2016europepaper
Same bits, different meaning – when direct execution based simulation becomes complicatedEvgeny Yulyugin2018EuropePapery2018europepaper
SAR ADC Layout Generation Using Digital Place-and-Route ToolsYao-Hung Tsai and Shen-Iuan Liu2023TaiwanPapery2023taiwanpaper
Saving and Restoring Simulation Methodology using UVM Factory Overriding to Reduce Simulation Turnaround TimeAhhyung Shin, Yungi Um, Youngsik Kim, and Seonil Brian Choi2020United StatesPostery2020united-statesposter
Saving and Restoring Simulation Methodology using UVM Factory Overriding to Reduce Simulation Turnaround TimeAhhyung Shin, Yungi Um, Youngsik Kim, and Seonil Brian Choi2020United StatesPapery2020united-statespaper
SAWD: Systemverilog Assertions Waveform-based Development ToolAhmed Alsawi2022EuropePapery2022europepaper
SAWD: Systemverilog Assertions Waveform-based Development toolAhmed Alsawi2022EuropePresentationy2022europepresentation
Scalable Mixed Features Stimulus Generation for Cluster Network Using Sequence DecoratorChi-Ming Li2023TaiwanPapery2023taiwanpaper
Scalable Multi-Domain Multi-Variant Reset Management in Complex Verification IPsKaustubh Kumar, Munnangi Sirisha, Lokesh Kumar2019IndiaPapery2019indiapaper
Scalable Multi-Domain, Multi-Variant Reset Management in Verification IPsKaustubh Kumar, Munnangi Sirisha, and Lokesh Kumar2019IndiaPresentationy2019indiapresentation
Scalable Reset Domain Crossing Verification Using Hierarchical Data ModelSoumya Palit, Anwesha Choudhury, and Kurt Takara2020United StatesPresentationy2020united-statespresentation
Scalable Test bench Architecture and Methodology for Faster Codec and Computer Vision Scenario VerificationAzhar Ahammad, Shreekara Murthy2022IndiaPresentationy2022indiapresentation
Scalable Test bench Architecture and Methodology for Faster Codec and Computer Vision Scenario VerificationAzhar Ahammad, Shreekara Murthy2022IndiaPapery2022indiapaper
SCALABLE, RE-USABLE UVM DMS AMS BASED VERIFICATION METHODOLOGY FOR MIXED-SIGNAL SOCSNilesh Sonara, Noorulla Mohammad, Poonam Singh, David Stoops, Joseph Fernando, and Kartik Sudarshana2019United StatesPapery2019united-statespaper
Scalable, Re-usable UVM DMS AMS based Verification Methodology for Mixed-Signal SOCsNilesh Sonara, Noorulla Mohammad, Poonam Singh, David Stoops, Joseph Fernando, and Kartik Sudarshana2019United StatesPresentationy2019united-statespresentation
Second Generation Completeness Analysis of Formal Assertions on Compatibility of RISC-V CoresWayne Yun2020United StatesPresentationy2020united-statespresentation
Securing design confidence for Low power and Functional features of Image Sensor SOC – Reuse of UVM simulation testbench on HW acceleration platformVivek Kumar, Manish Mallan, Karthik Majeti2023IndiaPostery2023indiaposter
Security Verification using Perspec/Portable StimulusJunxia Wang, Leven Li, Siyan Li, A T S Prasad, Kiran Kumar Palla, Yung Cheng Chen2023United StatesPresentationy2023united-statespresentation
Security Verification Using Portable Stimulus Driven Test Suite SynthesisAdnan Hamid and David Kelf2020United StatesPresentationy2020united-statespresentation
See the Forest for the Trees – How to Effectively Model and Randomize a Directed Rooted Tree StructureHarry Duque and Lars Viklund2023United StatesPapery2023united-statespaper
See the Forest for the Trees – How to Effectively Model and Randomize a DRT StructureHarry Duque, Lars Viklund2023United StatesPresentationy2023united-statespresentation
Semi-formal Reformulation of Requirements for Formal Property VerificationKatharina Ceesay-Seitz, Hamza Boukabache and Daniel Perrin2019EuropePresentationy2019europepresentation
Sequence, Sequence on the Wall – Who’s the Fairest of Them All?Rich Edelman and Raghu Ardeishar2013United StatesPapery2013united-statespaper
SERDES Rx CDR Verification Using Jitter, Spread-Spectrum Clocking (SSC) StimulusSomasunder Sreenath, Raghuram Kolipaka, Chirag Shah, Parag Lonkar2014IndiaPresentationy2014indiapresentation
SERDES Rx CDR Verification Using Jitter, Spread-Spectrum Clocking (SSC) StimulusSomasunder Sreenath, Raghuram Kolipaka, Chirag Shah, and Parag Lonkar2014IndiaPapery2014indiapaper
Seven Separate Sequence Styles Speed Stimulus ScenariosMark Peryer2013United StatesPapery2013united-statespaper
Seven Separate Sequence Styles Speed Stimulus ScenariosMark Peryer2013United StatesPostery2013united-statesposter
SGEN2: Evolution of a Sequence-Based Stimulus Engine for Micro-Processor VerificationStephan Bourduas and Christopher Mikulis2018United StatesPostery2018united-statesposter
SGEN2: Evolution of a sequence-based stimulus engine for micro-processor verification.Stephan Bourduas and Chris Mikulis2018United StatesPapery2018united-statespaper
Shaping Formal Traces without Constraints: A Case Study in Closing Code Coverage on a Crypto Engine Using Formal VerificationDavid N. Goldberg, Adriana Maggiore, and David J. Simpson2012United StatesPapery2012united-statespaper
Shaping Formal Traces without Constraints: A Case Study in Closing Code Coverage on a Crypto Engine using Formal VerificationDavid N. Goldberg, Adriana Maggiore, and David J. Simpson2012United StatesPresentationy2012united-statespresentation
Sharing Generic Class Libraries in SystemVerilog Makes Coding Fun AgainKeisuke Shimizu2014United StatesPapery2014united-statespaper
Sharing Generic Class Libraries in SystemVerilog Makes Coding Fun AgainKeisuke Shimizu2014United StatesPresentationy2014united-statespresentation
Shifting functional verification to high value HLVJunichi Tatsuda2023JapanPapery2023japanpaper
Shifting functional verification to high value HLVJunichi Tatsuda2023JapanPresentationy2023japanpresentation
Shifting Left CXL Interop using Simulation TechniquesJohn Shinto K S, Suhas Pai2022IndiaPresentationy2022indiapresentation
Sign-off with Bounded Formal Verification ProofsNamDo Kim, Junhyuk Park, HarGovind Singh, and Vigyan Singhal2014United StatesPapery2014united-statespaper
Sign-off with Bounded Formal Verification ProofsNAMDO KIM, JUNHYUK PARK, HARGOVIND SINGH, and VIGYAN SINGHAL2014United StatesPresentationy2014united-statespresentation
SIM-V Fast, Parallel RISC-V Simulation for Rapid Software VerificationLukas Jünger, Jan Henrik Weinstock, Rainer Leupers2022EuropePapery2022europepaper
Simple & Rapid Design Verification using SystemVerilog Testbench on Intel’s Next-Generation MicroprocessorThomas Alsop, Wayne Clift, Luke Hood, and Jeff Gray2011United StatesPapery2011united-statespaper
SimpleLink™ MCU Platform: IP-XACT to UVM Register Model – Standardizing IP and SoC Register VerificationJasminka Pasagic and Frank Donner2017EuropePresentationy2017europepresentation
SimpleLink™ MCU Platform: IP-XACT to UVM Register Model – Standardizing IP and SoC Register Verification “UVM is a perfect start”Jasminka Pasagic and Frank Donner2017EuropePapery2017europepaper
Simpler Register ModelSanjeev Singh2018United StatesPresentationy2018united-statespresentation
Simpler Register Model Package for UVM Testbenches.Sanjeev Singh2018United StatesPapery2018united-statespaper
Simplifying Hierarchical Low Power Designs Using Power Models in Intel DesignRohit Kumar Sinha2019IndiaPresentationy2019indiapresentation
Simplifying Hierarchical Low Power Designs Using Power Models in Intel DesignRohit Kumar Sinha2019IndiaPapery2019indiapaper
Simplifying UVM in SystemCThilo Vörtler, Thomas Klotz, Karsten Einwich, and Felix Assma2015EuropePapery2015europepaper
Simplifying UVM in SystemCThilo Vörtler, Thomas Klotz, Karsten Einwich, and Felix Assma2015EuropePostery2015europeposter
SimPy for ChipsHachem Tassine, Daniel Wilkinson, Graham Cunnigham, Iason Myttas2021EuropePapery2021europepaper
Simulation Acceleration with ZeBu to Speed IP and Platform VerificationHillel Miller and Wei-Hua Han2019United StatesPresentationy2019united-statespresentation
Simulation Analog Fault Injection Flow for Mixed-Signal DesignsPablo Cholbi Alenda, Dylan OConnor Desmond, Raman K2019IndiaPresentationy2019indiapresentation
Simulation Analog Fault Injection Flow for Mixed-Signal DesignsPablo Cholbi Alenda, Dylan OConnor Desmond, Raman K2019IndiaPapery2019indiapaper
Simulation and Debug of Mixed Signal Virtual Platforms for Hardware-Software co-developmentVincent Motel, Alexandre Roybier, and Serge Imbert2014EuropePapery2014europepaper
Simulation and Debug of Mixed Signal Virtual Platforms for Hardware-Software co-developmentVincent Motel, Alexandre Roybier, and Serge Imbert2014EuropePresentationy2014europepresentation
Simulation Based Pre-Silicon CharacterizationSaurabh Pandey, Venkatseema Das, Arif Mohammed, Nishant Gurunath2014IndiaPresentationy2014indiapresentation
Simulation Based Pre-Silicon CharacterizationSaurabh Pandey, Venkatseema Das, Arif Mohammed, Nishant Gurunath2014IndiaPapery2014indiapaper
Simulation Guided Formal Verification with “River Fishing” TechniquesBathri Narayanan Subramanian, Ping Yeung2019IndiaPapery2019indiapaper
Simulation Runtime Optimization of Constrained Random Verification using Machine Learning AlgorithmsSarath Mohan Ambalakkat and Eldon Nelson2019United StatesPapery2019united-statespaper
Simulation Runtime Optimization of Constrained Random Verification using Machine Learning AlgorithmsSarath Mohan Ambalakkat M.S. and Eldon Nelson M.S. P.E.2019United StatesPresentationy2019united-statespresentation
Single Source Register Sequencing Toolkit and Methodology for FW and Verification Co-DevelopmentJosh Loo, Anthony Cabrera, Kiel Boyle, and Scott R. Nelson2020United StatesPresentationy2020united-statespresentation
Single Source System to Register-Transfer Level Design Methodology Using High-Level SynthesisPetri Solanti and Thomas Arndt2020EuropePapery2020europepaper
Single Source System to Register-Transfer Level Design Methodology Using High-Level SynthesisPetri Solanti and Thomas Arndt2020EuropePresentationy2020europepresentation
Slaying the UVM Reuse DragonMike Baird and Bob Oden2016United StatesPostery2016united-statesposter
Slaying the UVM Reuse Dragon Issues and Strategies for Achieving UVM ReuseMike Baird and Bob Oden2016United StatesPapery2016united-statespaper
Slicing Through the UVM’s Red TapeA Frustrated User’s Survival GuideJonathan Bromley © Accellera2016EuropePresentationy2016europepresentation
Slicing Through the UVM’s Red Tape: A Frustrated User’s Survival GuideJonathan Bromley2016EuropePapery2016europepaper
Small Scale Parameterized Inference EngineVishnu Bharadwaj, Shruti Narake, and Saurabh Patil2019IndiaPresentationy2019indiapresentation
Smart Centralized Regression (SCR)Sriram Kazhiyur Sounderrajan, Somasunder Kattepura Sreenath, Siddhant Kumar Yadav, Ajay Pennam, Aman Jain2017IndiaPresentationy2017indiapresentation
Smart Formal for Scalable VerificationAshish Darbari2019United StatesPapery2019united-statespaper
Smart Formal for Scalable VerificationAshish Darbari2019United StatesPresentationy2019united-statespresentation
Smart Recipe for Quick Bug Hunting: Boosting functional sign-off with Low-Power AssertionsJeevan G R, Deepmala Sachan, Thameem Syed, Raghavendra Prakash2017IndiaPresentationy2017indiapresentation
Smarter Verification ManagementDavid Zhang2021ChinaPresentationy2021chinapresentation
Sneak Preview of UVM-SystemC: The Missing Piece in ESL VerificationMartin Barnasconi, Karsten Einwich2014IndiaPresentationy2014indiapresentation
So There’s My Bug! Debugging Universal Verification Methodology (UVM) EnvironmentsMike Floyd2011United StatesPapery2011united-statespaper
So you think you have good stimulus: System-level distributed metrics analysis and resultsAndreas Meyer2014United StatesPapery2014united-statespaper
So you think you have good stimulus: System-level distributed metrics analysis and resultsAndreas Meyer2014United StatesPostery2014united-statesposter
SOBEL FILTER: Software Implementation to RTL using High Level SynthesisBhavna Aggarwal, Umesh Sisodia, and Snigdha Tyagi2020EuropePresentationy2020europepresentation
SOBEL FILTER: Software Implementation to RTL using High Level SynthesisBhavna Aggarwal, Umesh Sisodia and Snigdha Tyagi2020EuropePapery2020europepaper
SoC Firmware Debugging Tracer in Emulation PlatformKubendra Kumbar, Sandeep Vallabhaneni, Ken Joseph Kannampuzha, Hojun Shim, and Byung Chul Yoo2020United StatesPapery2020united-statespaper
SoC Firmware Debugging Tracer in Emulation PlatformKubendra Kumbar, Sandeep Vallabhaneni, Ken Joseph Kannampuzha, Hojun Shim, and Byung Chul Yoo2020United StatesPostery2020united-statesposter
SoC Verification Enablement Using HM ModelVineet Tanwar, Chirag Kedia, Rahul Gupta2019IndiaPapery2019indiapaper
SoC Verification of Analog IP Integration through Automated, Formal-Based, Rule-driven Spec GenerationMurugesh Palaniswamy, Ravi Kalyanaraman, Gargi Sharma, and Bharat Baliga-Savel2018United StatesPostery2018united-statesposter
SoC Verification of Analog IP Integration through Automated, Formal-Based, Rule-driven Spec GenerationMurugesh Palaniswamy, Ravi Kalyanaraman, Gargi Sharma, and Bharat Baliga-Savel2018United StatesPapery2018united-statespaper
SoC verification of Security x Safety aspects for memory programmed into secure and non-secure regions in Automotive devicesRuchi Bora, Ramit Rastogi2022IndiaPostery2022indiaposter
SoC Verification Speed – More is BetterFernanda Braga, John Rose, William Winkeler, Sharon Rosenberg, and Frank Schirrmeister2018United StatesPresentationy2018united-statespresentation
SoC Verification using OVM : Leveraging OVM Constructs to Perform Processor Centric VerificationCedric Macadangdang and Paul Yue2012United StatesPapery2012united-statespaper
Soft Constraints in SV: Semantics and ChallengesMark Strickland2012United StatesPresentationy2012united-statespresentation
Soft Constraints in SystemVerilog Semantics and ChallengesMark Strickland, Joseph Hanli Zhang, Jason Chen, Dhiraj Goswami, and Alex Wakefield2012United StatesPapery2012united-statespaper
Software Driven Hardware Verification: A UVM/DPI ApproachMilan Purohit, Santanu Bhattacharyya, Puneet Goel, Amit Sharma2015IndiaPresentationy2015indiapresentation
Software Driven Test of FPGA PrototypeMethods & Use casesKrzysztof Szczur and Radosław Nawrot2017EuropePresentationy2017europepresentation
Solving Next Generation IP ConfigurabilityDavid Murray and Simon Rance2014United StatesPresentationy2014united-statespresentation
Solving Next Generation IP ConfigurabilityDavid Murray and Simon Rance2014United StatesPapery2014united-statespaper
Solving Problems with hierarchical CDC analysis of large designs with encrypted blocksAbdul Moyeen, Arpita Agarwal, Aman Shaikh, Abhay Deshpande2022IndiaPresentationy2022indiapresentation
Soumak – How rich descriptions enable early detection of hookup issuesPeter Birch, Thomas Brown2022EuropePapery2022europepaper
Source Control…$100 Regression Script…$500 Good Automated Release Steps…$PricelessJeffrey Wren2010United StatesPapery2010united-statespaper
Specification by Example for Hardware Design and VerificationJussi Mäkelä2017EuropePresentationy2017europepresentation
Specification by Example for Hardware Design and VerificationJussi Mäkelä2017EuropePapery2017europepaper
Specification Driven Analog and Mixed-Signal VerificationHenry Chang and Ken Kundert2015United StatesPresentationy2015united-statespresentation
Specification Driven Analog and Mixed-Signal VerificationHenry Chang and Ken Kundert2015United StatesPapery2015united-statespaper
Standard Regression Testing Does not WorkDaniel Hansson2015United StatesPapery2015united-statespaper
Standard Regression Testing Does Not WorkDaniel Hansson2015United StatesPresentationy2015united-statespresentation
State-Space “Switching” Model of DC-DC Converters in SystemVerilogElvis Shera2017EuropePresentationy2017europepresentation
State-Space “Switching” Model of DC-DC Converters in SystemVerilog.Elvis Shera2017EuropePapery2017europepaper
Static Analysis of SystemC/SystemC-AMS System and Architectural Level ModelsKarsten Einwich and Thilo Vörtler2020EuropePresentationy2020europepresentation
Static Analysis of SystemC/SystemC-AMS System and Architectural Level ModelsKarsten Einwich and Thilo Vörtler2020EuropePapery2020europepaper
Static Checking for Correctness of Functional Coverage ModelsWael Mahmoud2017EuropePresentationy2017europepresentation
Static Checking for Correctness of Functional Coverage ModelsWael Mahmoud2017EuropePapery2017europepaper
Static Power Intent Verification of Power State Switching ExpressionsSrobona Mitra, Bhaskar Pal, Kaushik De, Soumen Ghosh, Rajarshi Mukherjee2015IndiaPostery2015indiaposter
Static power-management verification of Cypress’s PSoC® Programmable System-on-Chip for embedded systemsJohnie Au and Prapanna Tiwari2010United StatesPapery2010united-statespaper
Static Sign-Off Best Practices Learnings and Experiences from Industry Use CasesVikas Sachdeva2023United StatesPresentationy2023united-statespresentation
Static Sign-Off Best Practices Learnings and Experiences from Industry Use CasesVikas Sachdeva2023IndiaPresentationy2023indiapresentation
Static Sign-Off Best Practices Learnings and Experiences from Industry Use CasesVikas Sachdeva2022EuropePresentationy2022europepresentation
Static Signoff Best Practices – Learnings and experiences from industry use casesVikas Sachdeva2022EuropePapery2022europepaper
Statically Dynamic or Dynamically Static? Exploring the power of classes and enumerations in SystemVerilog Assertions for reusability and scalabilitySachin Scaria, Sreenu Yerabolu, and Don Mills2017United StatesPresentationy2017united-statespresentation
Statically Dynamic or Dynamically Static? Exploring the power of classes and enumerations in SystemVerilog Assertions for reusability and scalabilitySachin Scaria and Sreenu Yerabolu2017United StatesPapery2017united-statespaper
Statistical Analysis of Clock Domain CrossingRajat Singla, Tanneru Sai Pavan, Naveen Dugar, Varun Sharma2023IndiaPresentationy2023indiapresentation
Statistical Analysis of Clock Domain CrossingRajat Singla, Tanneru Sai Pavan, Naveen Dugar, Varun Sharma2023IndiaPapery2023indiapaper
Step-up your Register Access VerificationNisha Kadhirvelu, Sundar Krishnakumar, and Wesley Park2019IndiaPresentationy2019indiapresentation
Step-up your Register Access VerificationNisha Kadhirvelu, Sundar Krishnakumar, and Rimpy Chugh2019IndiaPapery2019indiapaper
Stepping into UPF 2.1 world: Easy solution to complex Power Aware VerificationAmit Srivastava and Madhur Bhargava2014United StatesPapery2014united-statespaper
Stepping into UPF 2.1 world: Easy solution to complex Power Aware VerificationAmit Srivastava and Madhur Bhargava2014United StatesPresentationy2014united-statespresentation
Stepwise Refinement and Reuse: The Key to ESLAshok B. Mehta, Mark Glasser, Shabtay Matalon, and Dan Gardner2011United StatesPapery2011united-statespaper
Stimulating Scenarios in the OVM and VMMJL Gray and Scott Roland2010United StatesPapery2010united-statespaper
Stimulus Generation for Functional Verification of Memory SystemsVaibhav Anant Ashtikar2015IndiaPresentationy2015indiapresentation
Stimulus Generation for Functional Verification of Memory Systems in Advanced MicroprocessorsBhanuPratap Singh Chouhan, Vaibhav Anant Ashtikar, Basavaraj Talawar, Vani M., Krishnakumar Ranganathan2015IndiaPapery2015indiapaper
Strategies and Methods of using PSS2.0 high level modeling techniques to augment UVM verificationSwami Venkatesan2021United StatesPapery2021united-statespaper
Strategies on CDC False Alarm Rapid LocationJianhua Yan, Meiling Qi, Yunyang Song2021United StatesPapery2021united-statespaper
Strategies to Maximize Reusability of UVM Test Scenarios in SoC VerificationHyeonman Park, Namyoung Kim, Kyoungmin Lee, Hongkyu Kim, Jaein Hong, Kiseok Bae2023United StatesPapery2023united-statespaper
Strategies to Maximize Reusability of UVM Test Scenarios in SoC VerificationNamyoung Kim, Hyeonman Park, Kyoungmin Lee, Hongkyu Kim, Jaein Hong, Kiseok Bae2023United StatesPresentationy2023united-statespresentation
Strategy and Environment for SOC Mixed-Signal Validation: A Case StudyErik A McShane and Intel2010United StatesPapery2010united-statespaper
Sub-design Interface Aware Top Only Static Low Power VerificationHeichang Lee, Nikhil Amin, Jianfeng Liu, Minyoung Mo, and Dongkwan Han2018United StatesPapery2018united-statespaper
Successes and Challenges of Validation Content ReuseMike Chin, Jonathan Edwards, Josh Pfrimmer, and Hooi Jing Tan2022United StatesPostery2022united-statesposter
Successive Refinement – An Approach to Decouple Front End and Back End Power IntentSinha Rohit Kumar and Kotha Kavya2022United StatesPapery2022united-statespaper
Successive Refinement – An Approach to Decouple Front Front-End and Back Back-End Power IntentKotha Kavya and Sinha Rohit Kumar2022United StatesPostery2022united-statesposter
Successive Refinement – An approach to decouple Front-End and Back-end Power IntentRohit Kumar Sinha2021EuropePapery2021europepaper
Successive Refinement of UPF Power SwitchesPrabhakar Satya Ayyagari, William G Crocco2023United StatesPapery2023united-statespaper
Successive Refinement: A Methodology for Incremental Specification of Power IntentAdnan Khan, Eamonn Quigley, John Biggs, and Erich Marschner2015United StatesPresentationy2015united-statespresentation
Successive Refinement: A Methodology for Incremental Specification of Power IntentAdnan Khan, John Biggs, Eamonn Quigley, and Erich Marschner2015United StatesPapery2015united-statespaper
Successive Refinement: A Methodology for Incremental Specification of Power IntentAdnan Khan, Eamonn Quigley, John Biggs, and Erich Marschner2015United StatesPostery2015united-statesposter
Supercharge Your Verification Using Rapid Expression Coverage as the Basis of a MC/DC-Compliant Coverage MethodologyGaurav Kumar Verma and Doug Warmke2014United StatesPapery2014united-statespaper
Supercharge Your Verification Using Rapid Expression Coverage as the Basis of a MC/DC-Compliant Coverage MethodologyGaurav Kumar Verma and Doug Warmke2014United StatesPostery2014united-statesposter
Supplementing Simulation of a Microcontroller Flash Memory Subsystem with Formal Veri cationOthmane Bahlous and Abdelouahab Ayari2012United StatesPapery2012united-statespaper
Supplementing Simulation of a Microcontroller Flash Memory Subsystem with Formal VerificationOthmane Bahlous and Abdel Ayari2012United StatesPresentationy2012united-statespresentation
Supply network connectivity: An imperative part in low power gate-level verificationVinay Kumar Singh and Gabriel Chidolue2019United StatesPapery2019united-statespaper
Supply network connectivity: An imperative part in low power gate-level verificationGabriel Chidolue, Vinay Singh, and Divyeshkumar Dhanjibhaiv Vora2019United StatesPresentationy2019united-statespresentation
Supporting root cause analysis of inaccurate bug prediction based on machine learning – Lessons learned when interweaving training data and source codeOscar Werneman, Markus Borg, Daniel Hansson2021United StatesPapery2021united-statespaper
Survey of Machine Learning (ML) Applications in Functional Verification (FV)Dan Yu, Harry Foster, Tom Fitzpatrick2023United StatesPresentationy2023united-statespresentation
SVA Encapsulation in UVM: enabling phase and configuration aware assertionsMark Litterick2013United StatesPapery2013united-statespaper
SVA Encapsulation in UVM: enabling phase and configuration aware assertionsMark Litterick2013United StatesPresentationy2013united-statespresentation
SwiftCov: Automated Coverage Closure ToolNisha Mallya, Kunal Panchal, Pushkar Naik2017IndiaPresentationy2017indiapresentation
Switch the Gears of the UVM Register Package to cruise through the street named “Register Verification”.Parag Goel, Amit Sharma, Varun S, Abhisek Verma, and Gaurav Gupta2013United StatesPostery2013united-statesposter
Switch the Gears of the UVM Register Package to cruise through the street named “Register Verification”.Amit Sharma, Varun S, Abhisek Verma, and Gaurav Gupta2013United StatesPapery2013united-statespaper
Synchronicity: Bringing Order to SystemVerilog/UVM Synchronizing ChaosBryan Morris and P. Eng2019United StatesPapery2019united-statespaper
Synchronicity: Bringing Order to the SystemVerilog/UVM Synchronizing ChaosBryan Morris2019United StatesPresentationy2019united-statespresentation
Synthesis of Decoder Tables using Formal Verification ToolsKeerthikumara Devarajegowda, Johannes Schreiner, and Wolfgang Ecker2018United StatesPresentationy2018united-statespresentation
Synthesis of Decoder Tables using Formal Verification ToolsKeerthikumara Devarajegowda, Johannes Schreiner, and Wolfgang Ecker2018United StatesPapery2018united-statespaper
Synthesis of Decoder Tables Using Formal Verification ToolsKeerthikumara Devarajegowda, Johannes Schreiner, and Wolfgang Ecker2018United StatesPostery2018united-statesposter
Synthesizable Random Testbench for Multimedia IP VerificationSanggyu Park2021United StatesPapery2021united-statespaper
SysML based Architecture Definition and Platform Generation FlowRalph Görgen and Erwin de Kock2019EuropePresentationy2019europepresentation
SYSTEM LEVEL FAULT INJECTION SIMULATION USING SIMULINKWai Yuen Tang, Marcelo Mizuki, Mitch Norcross, and Fengying Qiao2017United StatesPapery2017united-statespaper
System Level Fault Injection Simulation Using SimulinkWai Yuen Tang, Marcelo Mizuki, Mitch Norcross, and Fengying Qiao2017United StatesPostery2017united-statesposter
System level random verification: How it should be doneMadhusudan Rathi and Ashok Chandran2019United StatesPresentationy2019united-statespresentation
System Model – A Testbench Library Component Aided for Emulating User InteractionHussain Wadia2019United StatesPostery2019united-statesposter
System Responsiveness Verification of large Multi Processor System Configurations using Micro Benchmarks and a Multi Level AnalysisDr. Ralf Winkelmann, Edward Chencinski, Hanno Eichelberger, Michael Fee, Carsten Otte, and Christoph Raisch2017United StatesPostery2017united-statesposter
System Responsiveness Verification of large Multi-Processor System Configurations using Micro-Benchmarks and a Multi-Level AnalysisDr. Ralf Winkelmann, Edward Chencinski, Hanno Eichelberger, Michael Fee, Carsten Otte, Christoph Raisch2017United StatesPapery2017united-statespaper
System to catch Implementation gotchas in the RTL Restructuring processAnmol Rattan, Satinder Malhi, and Balwinder Soni2016United StatesPapery2016united-statespaper
System to catch Implementation gotchas in the RTL Restructuring processAnmol Rattan, Satinder Singh Malhi, Balwinder Singh Soni, Anuj Kumar, Navneet Chaurasia, and Sami Akhtar2016United StatesPostery2016united-statesposter
System Verification with MatchLibRussell Klein2022United StatesPresentationy2022united-statespresentation
System Verilog Assertion Linting: Closing Potentially Critical Verification HolesErik Seligman, Laurence Bisht, and Dmitry Korchemny2012United StatesPresentationy2012united-statespresentation
System Verilog Assertions VerificationIonuț Ciocîrlan and Andra Radu2015EuropePresentationy2015europepresentation
System-Level Power Estimation of SSDs under Real Workloads using EmulationSangmin Kim, Kwanghyo Ahn, Changhoon Han, Hyunsik Kim, Jaewoo Im2023United StatesPostery2023united-statesposter
System-Level Power Estimation of SSDs under Real Workloads using EmulationSangmin Kim, Kwanghyo Ahn, Changhoon Han, Hyunsik Kim, Jaewoo Im2023United StatesPapery2023united-statespaper
System-Level Random Verification: How it should be doneMadhusudan Rathi and Ashok Chandran2019United StatesPapery2019united-statespaper
System-Level Register Verification and DebugUtkarsh Bhiogade, Kautilya Joshi, Puneet Goel2021EuropePapery2021europepaper
System-Level Security Verification Starts with the Hardware Root of TrustDr. Jason Oberg2019United StatesPresentationy2019united-statespresentation
Systematic Application of UCIS to Improve the Automation on Verification ClosureChristoph Kuznik, Marcio F. S. Oliveira, Gilles Bertrand Defo, and Wolfgang Mueller2013United StatesPresentationy2013united-statespresentation
Systematic Application of UCIS to Improve the Automation on Verification ClosureChristoph Kuznik, Marcio F. S. Oliveira, Gilles Bertrand Defo, and Wolfgang Mueller2013United StatesPapery2013united-statespaper
Systematic Constraint Relaxation (SCR): Hunting for Over Constrained StimulusDebarshi Chatterjee, Spandan Kachhadia, Ismet Bayraktaroglu, Siddhanth Dhodhi2022United StatesPresentationy2022united-statespresentation
Systematic Constraint Relaxation (SCR): Hunting for Over-Constrained StimulusDebarshi Chatterjee, Spandan Kachhadiya, Ismet Bayraktaroglu, and Siddhanth Dhodhi2022United StatesPapery2022united-statespaper
Systematic Speedup Techniques for Functional CDC Verification ClosureSulabh Kumar Khare and Ashish Hari2017United StatesPapery2017united-statespaper
Systematic Speedup Techniques for Functional CDC Verification ClosureSulabh Kumar Khare and Ashish Hari2017United StatesPostery2017united-statesposter
Systematically Achieving CDC Verification Closure based on Coverage Models and Coverage MetricsAshish Hari and Yogesh Badaya2012United StatesPresentationy2012united-statespresentation
Systematically Achieving CDC Verification Closure based on Coverage Models and Coverage MetricsAshish Hari and Yogesh Badaya2012United StatesPapery2012united-statespaper
SystemC extension for power specification, simulation and verificationMikhail Moiseev, Ilya Klotchkov, and Kirill Gagarski2016EuropePapery2016europepaper
SystemC extension for power specification,simulation and verificationMikhail Moiseev, Ilya Klotchkov, Maxim Petrov, and Kirill Gagarski2016EuropePresentationy2016europepresentation
SystemC FMU for Verification of Advanced Driver Assistance SystemsKeroles Khalil and Magdy A. El-Moursy2019United StatesPostery2019united-statesposter
SystemC gaps encountered in Virtual Platform developmentEyck Jentzsch2016EuropePapery2016europepaper
SystemC Virtual Prototype: Ride the Earliest Train for Time-To-MarketShweta Saxena and Mahantesh Danagouda2022United StatesPresentationy2022united-statespresentation
SystemC Virtual Prototype: Ride the earliest train for Time-To-Market!Shweta Saxena and Mahantesh Danagouda2022United StatesPapery2022united-statespaper
SystemC-to-Verilog Compiler: a productivity-focused tool for hardware design in cycle-accurate SystemCMikhail Moiseev, Roman Popov, and Ilya Klotchkov2018EuropePresentationy2018europepresentation
SystemC-to-Verilog Compiler: a productivity-focused tool for hardware design in cycle-accurate SystemCMikhail Moiseev, Roman Popov and Ilya Klotchkov2019EuropePresentationy2019europepresentation
SystemC: Focusing on High Level Synthesis and Functional Coverage for SystemCDragos Dospinescu, Frederic Doucet, Mike Meredith, Bob Condon, Dragos Dospinescu and Mike Meredith2019United StatesPresentationy2019united-statespresentation
SystemRDL to PSS BASIC TO PROAnupam Bakshi and Amanjyot Kaur2020United StatesPresentationy2020united-statespresentation
SystemUVM™ Driving Portable Stimulus Ease-Of-UseNambi Ju2022IndiaPresentationy2022indiapresentation
SystemVerilog Assertion Linting: Closing Potentially Critical Verification HolesLaurence S. Bisht, Dmitry Korchemny, and Erik Seligman2012United StatesPapery2012united-statespaper
SystemVerilog Assertions for Clock-Domain-Crossing Data PathsDon Mills2015United StatesPapery2015united-statespaper
SystemVerilog Assertions for Clock-Domain-Crossing Data PathsDon Mills2015United StatesPresentationy2015united-statespresentation
SystemVerilog Checkers: Key Building Blocks for Verification IPLaurence Bisht, Dmitry Korchemny, and Erik Seligman2012United StatesPapery2012united-statespaper
SystemVerilog Configurations and Tool Flow Using SCons (an Improved Make)Don Mills and Dillan Mills2020United StatesPresentationy2020united-statespresentation
SystemVerilog Constraint Layering via Reusable Randomization Policy ClassesJohn Dickol2015United StatesPapery2015united-statespaper
SystemVerilog Constraint Layering via Reusable Randomization Policy ClassesJohn Dickol2015United StatesPostery2015united-statesposter
SystemVerilog Constraints: Appreciating What You Forgot In School to Get Better ResultsDave Rich2020United StatesPresentationy2020united-statespresentation
SystemVerilog for DesignSaminathan Chockalingam, Deepa Anantharaman2014IndiaPresentationy2014indiapresentation
SystemVerilog Format of Portable StimulusWayne Yun, David Chen, Theta Yang, and Evean Qin2019United StatesPostery2019united-statesposter
SystemVerilog FrameWorksTM Scoreboard: An Open Source Implementation Using UVMAmbar Sarkar2011United StatesPapery2011united-statespaper
SystemVerilog Interface Classes – More Useful Than You ThoughtStan Sokorac2016United StatesPapery2016united-statespaper
SystemVerilog Interface Classes More Useful Than You ThoughtStan Sokorac2016United StatesPresentationy2016united-statespresentation
SystemVerilog Interface CookbookPaul Egan and Kathleen Otten2014United StatesPapery2014united-statespaper
SystemVerilog Interface CookbookPaul Egan and Kathleen Otten2014United StatesPresentationy2014united-statespresentation
SystemVerilog Meets C++: Re-use of Existing C/C++ Models Just Got EasierJohn Aynsley2010United StatesPapery2010united-statespaper
SystemVerilog Real Models for an InMemory Compute DesignDaniel Cross2023United StatesPapery2023united-statespaper
SystemVerilog-2009 Enhancements: Priority/Unique/UniqueClifford E. Cummings2010United StatesPapery2010united-statespaper
SystemVerilog, Batteries Included: A Programmer’s Utility Library for SystemVerilogJonathan Bromley and André Winkelmann2014United StatesPresentationy2014united-statespresentation
SystemVerilog, Batteries Included: A Programmer’s Utility Library for SystemVerilogJonathan Bromley and André Winkelmann2014United StatesPapery2014united-statespaper
Table-based Functional Coverage Management for SOC ProtocolsShahid Ikram, Jack Perveiler, Isam Akkawi, Jim Ellis, and David Asher2015United StatesPapery2015united-statespaper
Table-based Functional Coverage Management for SOC ProtocolsShahid Ikram, Jack Perveiler, Isam Akkawi, Jim Ellis, and David Asher2015United StatesPresentationy2015united-statespresentation
Tabled Data Into Query Able Data, ‘Key’ Concepts Demonstrated Using DDR5Rahil Jha, Joseph Bauer2023IndiaPresentationy2023indiapresentation
Tabled Data Into Query Able Data, ‘Key’ Concepts Demonstrated Using DDR5Rahil Jha, Joseph Bauer2023IndiaPapery2023indiapaper
Tackling Random Blind Spots with Strategy-Driven GenerationMatthew Ballance2014United StatesPostery2014united-statesposter
Tackling Random Blind Spots with Strategy-Driven Stimulus GenerationMatthew Ballance2014United StatesPapery2014united-statespaper
Tackling Register Aliasing Verification Challenges in Complex ASIC DesignShan Yan, Jie Wu, and Jing Li2017United StatesPresentationy2017united-statespresentation
Tackling Register Aliasing Verification Challenges in Complex ASIC DesignShan Yan, Jie Wu, and Jing Li2017United StatesPapery2017united-statespaper
Tackling the challenge of simulating multi-rail macros in a power aware flowHimanshu Bhatt, Amol Herlekar, Vikas Grover, and Subhadip Nath2014United StatesPapery2014united-statespaper
Tackling the challenge of simulating multi-rail macros in a power-aware flowHimanshu Bhatt, Amol Herlekar, Vikas Grover, and Subhadip Nath2014United StatesPresentationy2014united-statespresentation
Tackling the Complexity Problem in Control and Datapath Designs with Formal VerificationRavindra Aneja, Ashish Darbari, Nitin Mhaske, and Per Bjesse2019United StatesPresentationy2019united-statespresentation
Tackling the verification complexities of a processor subsystem through Portable stimulusVivek Gopalkrishna, Ponnambalam Lakshmanan, Nitish Swamy2023IndiaPresentationy2023indiapresentation
Tackling the verification complexities of a processor subsystem through Portable stimulusVivek Gopalkrishna, Ponnambalam Lakshmanan, Nitish Swamy2023IndiaPapery2023indiapaper
Take AIM! Introducing the Analog Information ModelChuck McClish2023United StatesPapery2023united-statespaper
Take AIM! Introducing the Analog Information ModelChuck McClish2023United StatesPresentationy2023united-statespresentation
Taking Design Automation to the next level with User Experience DesignJamie Lai, Bodo Hoppe2022EuropePresentationy2022europepresentation
Taking Real-Value Modeling to the next level: Power-aware verification of mixed-signal designsSubin Thykkoottathil, Jakub Dudek, Nagesh Ranganath, Nimay Shah, and Santosh Singh2019United StatesPapery2019united-statespaper
Taking RNM Model to the Next Level: Power-Aware Verification of Mixed-Signal DesignsSubin Thykkoottathil, Nagesh Ranganath, Santosh Singh, Jakub Dudek, and Nimay Shah2019United StatesPresentationy2019united-statespresentation
Taming a Complex UVM EnvironmentManjunath Shetty, and Ramamurthy Gorti2015United StatesPostery2015united-statesposter
Taming a Complex UVM EnvironmentManjunath Shetty and Ramamurthy Gorti2015United StatesPapery2015united-statespaper
Taming the beast: A smart generation of Design Attributes (Parameters) for Verification Closure Using SpecmanMeirav Nitzan, Yael Kinderman, and Efrat Gavish2013United StatesPapery2013united-statespaper
Techniques to identify reset metastability issues due to soft resetsReetika, Sulabh Kumar Khare2023IndiaPresentationy2023indiapresentation
Techniques to identify reset metastability issues due to soft resetsReetika, Sulabh Kumar Khare2023IndiaPapery2023indiapaper
Temporal assertions in SystemCMikhail Moiseev, Leonid Azarenkov, and Ilya Klotchkov2020EuropePresentationy2020europepresentation
Temporal Assertions in SystemCMikhail Moiseev, Leonid Azarenkov and Ilya Klotchkov2020EuropePapery2020europepaper
Temporal Decoupling – Are “Fast” and “Correct” Mutually Exclusive?Jakob Engblom2018EuropePapery2018europepaper
Temporal Decoupling – Are “Fast” and “Correct” Mutually Exclusive?Jakob Engblom2018EuropePresentationy2018europepresentation
Test driving Portable Stimulus at AMDPrabhat Gupta and Matan Vax2019United StatesPresentationy2019united-statespresentation
Test Parameter Tuning with Blackbox Optimization: A Simple Yet Effective Way to Improve CoverageQijing Huang*, Hamid Shojaei, Fred Zyda, Azade Nazi, Shobha Vasudevan, Sat Chatterjee, Richard Ho2022United StatesPresentationy2022united-statespresentation
Test Parameter Tuning with Blackbox Optimization: A Simple Yet Effective Way to Improve CoverageQijing Huang, Hamid Shojaei, Fred Zyda, Azade Nazi, Shobha Vasudevan, Sat Chatterjee, Richard Ho2022United StatesPapery2022united-statespaper
Test-driving PSS for System Low-Power ValidationPrabhat Gupta and Matan Vax2019United StatesPapery2019united-statespaper
Testbench Configuration MantraStephen D’Onofrio2010United StatesPapery2010united-statespaper
Testbench Flexiblity as a Foundation for SuccessAna Sanz Carretero, Katherine Garden, Wei Wei Cheong2021EuropePapery2021europepaper
Testing the TestbenchStan Sokorac2016United StatesPostery2016united-statesposter
Testing the TestbenchStan Sokorac2016United StatesPapery2016united-statespaper
Testpoint Synthesis Using Symbolic SimulationKai-Hui Chang, Yen-Ting Liu and Chris Browy2015United StatesPapery2015united-statespaper
Testpoint Synthesis Using Symbolic SimulationKai-Hui Chang, Yen-Ting Liu and Chris Browy2015United StatesPostery2015united-statesposter
The Application of Formal Technology on Fixed Point Arithmetic SystemC DesignsSven Beyer, Dominik Straßer, and Dave Kelf2015EuropePresentationy2015europepresentation
The Application of Formal Technology on Fixed-Point Arithmetic SystemC DesignsSven Beyer, Dominik Straßer, and David Kelf2015EuropePapery2015europepaper
The Art of Portable and Reusable UVM Shared System Memory Model Verification Methodology across Multiple Verification PlatformsRoman Wang, Thomas Bodmer, and Beryl Chen2016United StatesPapery2016united-statespaper
The Art of Portable and Reusable UVM Shared System Memory Model Verification Methodology Across Multiple Verification PlatformsRoman Wang, Thomas Bodmer, and Beryl Chen2016United StatesPostery2016united-statesposter
The Art of Writing Predictors Efficiently Using UVMDolly Mehta, Jeremy Ridgeway2015IndiaPresentationy2015indiapresentation
The Best Verification Strategy You’ve Never Heard OfDavid Aerne, Amir Attarha, Harry Foster, and Kurt Takara2022United StatesPresentationy2022united-statespresentation
The Big Brain Theory – Visualizing SoC Design & Verification DataGordon Allan2015United StatesPostery2015united-statesposter
The Big Brain Theory: Visualizing SoC Design & Verification DataGordon Allan2015United StatesPapery2015united-statespaper
The Case for Low-Power Simulation-to-Implementation Equivalence CheckingHimanshu Bhatt, John Decker, and Hiral Desai2012United StatesPapery2012united-statespaper
The Case for Low-Power Simulation-to-Implementation Equivalence CheckingHimanshu Bhatt, John Decker, and Hiral Desai2012United StatesPresentationy2012united-statespresentation
The CHIPS ACT and Its Impact On The Design & Verification MarketsBOB SMITH2023United StatesPresentationy2023united-statespresentation
The Cost of SoC BugsKen Albin2016United StatesPapery2016united-statespaper
The Cost of SoC BugsKen Albin2016United StatesPresentationy2016united-statespresentation
The Cost of Standard Verification Methodology ImplementationsAbigail Williams, Svetlomir Hristozkov, Adam Hizzey2022EuropePapery2022europepaper
The Cost Of Standard Verification Methodology ImplementationsAdam Hizzey, Abigail Williams, Svetlomir Hristozkov2022EuropePresentationy2022europepresentation
The Evolution of RISC-V Processor VerificationAimee Sutton, Lee Moore, Mike Thompson2023United StatesPresentationy2023united-statespresentation
The Evolution of RISC-V Processor Verification: Open Standards and Verification IPLee Moore, Aimee Sutton, Mike Thompson2023United StatesPapery2023united-statespaper
The Evolution of Triage – Real-time Improvements in Debug ProductivityGordon Allan2016United StatesPostery2016united-statesposter
The Exascale Debug Challenge: Time to advance your emulation debug gameRibhu Mittal and Melvyn Goveas2020United StatesPresentationy2020united-statespresentation
The Finer Points of UVM: Tasting Tips for the ConnoisseurJohn Aynsley2013United StatesPresentationy2013united-statespresentation
The Finer Points of UVM: Tasting Tips for the ConnoisseurJohn Aynsley2013United StatesPapery2013united-statespaper
The Formal Way – Fast and Accurate Hashing Algorithm VerificationSini Balakrishnan, Sireesha Tulluri, Bindumadhava SS, Disha Puri2022IndiaPresentationy2022indiapresentation
The future of formal model checking is NOW!Ram Narayan2014United StatesPapery2014united-statespaper
The Future of Formal Model Checking is NOW!Ram Narayan2014United StatesPostery2014united-statesposter
The Growing Need for End-to-end Protocol Verification for IP to Multi-die SystemsVarun Agrawal, Shakir Ali2023United StatesPresentationy2023united-statespresentation
The How To’s of Advanced Mixed-Signal VerificationJohn Brennan, Thomas Ziller, Kawe Fotouhi, and Ahmed Osman2015EuropePresentationy2015europepresentation
The How To’s of Metric Driven Verification to Maximize ProductivityMatt Graham and John Brennan2014EuropePresentationy2014europepresentation
The Importance of Complete Signoff Methodology for Formal VerificationMahesh Parmar, Iain Singleton, Geogy Jacob2020United StatesPapery2020united-statespaper
The Importance of Complete Signoff Methodology for Formal VerificationIain Singleton, Mahesh Parmer, and Geogy Jacob2020United StatesPresentationy2020united-statespresentation
The Industry eFPGA soft IP fully customizable, for hardware reconfiguration in the fieldMrs Imen Baili2022IndiaPresentationy2022indiapresentation
The Life of a SystemVerilog VariableDave Rich2021United StatesPapery2021united-statespaper
The Missing Link: The Testbench to DUT ConnectionDavid Rich2012United StatesPapery2012united-statespaper
The missing SystemC and TLM asynchronous features enabling inter-simulation synchronization.Guillaume Delbergue, Mark Burton, Bertrand Le Gal and Christophe Jego2016EuropePapery2016europepaper
The Need for Speed: Understanding design factors that make multi-core parallel simulations efficientShobana Sudhakar and Rohit K Jain2013United StatesPapery2013united-statespaper
The Need for Speed: Understanding design factors that make multi-core parallel simulations efficientShobana Sudhakar and Rohit K Jain2013United StatesPostery2013united-statesposter
The New Power Perspective – Realistic Workloads – Real ResultsXiaoming Li2021ChinaPresentationy2021chinapresentation
The Next Generation Of EDALuke Yang2021ChinaPresentationy2021chinapresentation
The Open Source DRAM Simulator DRAMSys4.0Matthias Jung2022EuropePresentationy2022europepresentation
The Open-Source DRAM Simulator DRAMSys4.0Matthias Jung2022EuropePapery2022europepaper
The OVM-VMM Interoperability Library: Bridging the GapTom Fitzpatrick and Adam Erickson2010United StatesPapery2010united-statespaper
The Problems with Lack of Multiple Inheritance in SystemVerilog and a SolutionDavid Rich2010United StatesPapery2010united-statespaper
The Process and Proof for Formal Sign-Off –A Live Case StudyIpshita Tripathi, Ankit Saxena, Anant Verma, and Prashant Aggarwal2016United StatesPresentationy2016united-statespresentation
The Process and Proof for Formal Sign-off A Live Case StudyIpshita Tripathi, Ankit Saxena, Anant Verma, and Prashant Aggarwal2016United StatesPapery2016united-statespaper
The Top Most Common SystemVerilog Constrained Random GotchasAhmed Yehia2014EuropePapery2014europepaper
The Top Most Common SystemVerilog Constrained Random GotchasAhmed Yehia2014EuropePresentationy2014europepresentation
The Universal TranslatorDavid Cornfield2014EuropePresentationy2014europepresentation
The Universal Translator – A Fundamental UVM Component for Networking ProtocolsDavid Cornfield2014EuropePapery2014europepaper
The Universal Translator – A Fundamental UVM Component for Networking ProtocolsDavid Cornfield2014EuropePresentationy2014europepresentation
The Untapped Power of UVM Resources and Why Engineers Should Use the uvm_resource_db APIClifford E. Cummings, Heath Chambers, Mark Glasser2023United StatesPapery2023united-statespaper
The Untapped Power of UVM Resources and Why Engineers Should Use the uvm_resource_db APIClifford E. Cummings, Heath Chambers, Mark Glasser2023United StatesPresentationy2023united-statespresentation
The UPF 2.1 Library Commands: Truly Unifying the Power Specification FormatsAmit Srivastava, Awashesh Kumar, and Vinay Singh2015United StatesPostery2015united-statesposter
The UPF 2.1 library commands: Truly unifying the power specification formatsAmit Srivastava, Awashesh Kumar, and Vinay Singh2015United StatesPapery2015united-statespaper
THE VERIFICATION COCKPIT – A ONE-STOP SHOP FOR YOUR VERIFICATION DATAAlia Shah, Eugene Rotter, Avi Ziv, Raviv Gal, and Divya Joshi2020United StatesPresentationy2020united-statespresentation
There’s something wrong between Sally Sequencer and Dirk Driver – why UVM sequencers and drivers need some relationship counsellingMark Peryer2012United StatesPapery2012united-statespaper
There’s something wrong between Sally Sequencer and Dirk Driver (Why UVM sequencers and drivers need some relationship counseling)Mark Peryer2012United StatesPresentationy2012united-statespresentation
Thinking Ahead – Advanced Verification and Debug Techniques for the Imminent IoT WaveVamsi Krishna Doppalapudi2016IndiaPresentationy2016indiapresentation
Thinking Beyond the Box Adopt the Reusable UVM Thread Management and Customized UVM Reset Package to Attack Thread Aware Verification ChallengesRoman Wang, Uwe Simm, Malathi Chikkanna2015IndiaPostery2015indiaposter
Thinking In TransactionsVisualizing and ValidatingRich Edelman, Mustufa Kanchwala2017IndiaPresentationy2017indiapresentation
Timing Coverage: An Approach to Analyzing Performance HolesSurbhi Kalia, Shubhadeep Karmakar, Vikas Makhija, and Apoorva Mathur2019United StatesPostery2019united-statesposter
Timing-Aware High Level Power Estimation of Industrial Interconnect ModuleAmal Ben Ameur, Antonio Genov, François Verdier and Loic Leconte2020EuropePapery2020europepaper
Timing-Aware high level power estimation of industrial interconnect moduleAmal Ben Ameur, Antonio Genov, François Verdier, and Loic Leconte2020EuropePresentationy2020europepresentation
Tips for Developing Performance Efficient Verification EnvironmentsPrashanth Srinivasa, Sarath Chandrababu Valapala, and Varun S2012United StatesPapery2012united-statespaper
Title: Using Test-IP Based Verification Techniques in a UVM EnvironmentVidya Bellippady, Sundar Haran, and Jay O’Donnell2014United StatesPapery2014united-statespaper
TLM based Virtual Platforms at Ericsson Challenges and ExperiencesOla Dahl, Michael Lebert, and Eric Frejd2016EuropePresentationy2016europepresentation
TLM Beyond Memory Mapped BussesBart Vanthournout and Mark Burton2016EuropePapery2016europepaper
TLM modeling and simulation for NAND Flash and Solid State Drive systemsTim Kogel and Victor Reyes2017EuropePresentationy2017europepresentation
TLM-2.0 in SystemVerilogMark Glasser and Janick Bergeron,2011United StatesPapery2011united-statespaper
TLM-based Virtual Platforms at Ericsson: Challenges and ExperiencesOla Dahl, Michael Lebert, and Eric Frejd2016EuropePapery2016europepaper
To Infinity And Beyond – Streaming Data Sequences in UVMMark Litterick, Jeff Vance, Jeff Montesano2021United StatesPapery2021united-statespaper
Tough Verification Challenges: Data Visualization to the RescueShaji Kunjumohamed2016United StatesPapery2016united-statespaper
Towards 5G Internet of ThingsSabine Roessel2017EuropePresentationy2017europepresentation
Towards a UVM-based Solution for Mixed-signal VerificationAlexander W. Rath, Sebastian Simon, Volkan Esen, and Wolfgang Ecker2016EuropePresentationy2016europepresentation
Towards a UVM-based Solution for Mixed-signal VerificationAlexander W. Rath, Sebastian Simon, Volkan Esen, and Wolfgang Ecker2016EuropePapery2016europepaper
Towards Early Validation of Firmware Using UVM Simulation FrameworkAmaresh Chellapilla, Pandithurai Sangaiyah2019IndiaPresentationy2019indiapresentation
Towards Early Validation of Firmware Using UVM Simulation FrameworkAmaresh Chellapilla, Pandithurai Sangaiyah2019IndiaPapery2019indiapaper
Towards Provable Protocol Conformance of Serial Automotive Communication IPJens E. Becker, Oliver Sander, Alexander Klimm, J¨urgen Becker, Katharina Weinberger, and Slava Bulach2011United StatesPapery2011united-statespaper
Traditional top level static low power rule check 2018United StatesPostery2018united-statesposter
Traffic Profiling and Performance Instrumentation For On-Chip InterconnectsMark Peryer and Bruce Mathewson2013United StatesPapery2013united-statespaper
Traffic Profiling and Performance Instrumentation For On-Chip InterconnectsMark Peryer2013United StatesPostery2013united-statesposter
Transaction Recording Anywhere AnytimeRich Edelman2019United StatesPostery2019united-statesposter
Transaction-Based Acceleration—Strong Ammunition In Any Verification ArsenalChandrasekhar Poorna, Varun Gupta, and Raj Mathur2011United StatesPapery2011united-statespaper
Transaction-Level Friending: An Open-Source, Standards-Based Library for Connecting TLM Models in SystemC and SystemVerilogAdam Erickson2013United StatesPapery2013united-statespaper
Transaction-Level State Charts in UML and SystemC with Zero-Time EvaluationRainer Findenig , Thomas Leitner, Michael Velten, and Wolfgang Ecker2010United StatesPapery2010united-statespaper
Transaction‐Based Testing with OSVVM and the OSVVM Model LibraryJim Lewis and Patrick Lehmann2019EuropePresentationy2019europepresentation
Transactional Memory Subsystem Verification for an ARMv8 Server Class CPURamdas M., Parveez Ahamed, Brijesh Reddy, Jayanto Minocha2015IndiaPresentationy2015indiapresentation
Transactional Memory Subsystem Verification for an ARMv8 Server Class CPURamdas Mozhikunnath, Parveez Ahamed, Brijesh Reddy, Jayanto Minocha2015IndiaPapery2015indiapaper
Translating and Adapting to the “real” world: SerDes Mixed Signal Verification using UVMAkhila Madhu Kumar and Karl Herterich2019United StatesPapery2019united-statespaper
Translating and Adapting to the “real” world: SerDesMixed Signal Verification using UVMAkhila Madhu Kumar and Karl Herterich2019United StatesPresentationy2019united-statespresentation
Transparent SystemC Model Factory for Scripting LanguagesRolf Meyer, Bastian Farkas, Syed Abbas Ali Shah, and Mladen Berekovic2017United StatesPapery2017united-statespaper
Transparent SystemC Model Factory for Scripting LanguagesRolf Meyer, Bastian Farkas, Syed Abbas Ali Shah, and Mladen Berekovic2017United StatesPostery2017united-statesposter
Transparently Checkpointing Software Test Benches to Improve Productivity of SoC Verification in an Emulation EnvironmentAnkit Garg, K. Suresh, Gene Cooperman, Rohan Garg, and Jeff Evans2018United StatesPapery2018united-statespaper
Transparently Checkpointing Software Test Benches to Improve Productivity of SOC Verification in an Emulation EnvironmentAnkit Garg, K. Suresh, Jeff Evans, Gene Cooperman, and Rohan Garg2018United StatesPresentationy2018united-statespresentation
Traversing the Interconnect: Automating Configurable Verification Environment DevelopmentPrashanth Srinivasa and Mathew Roy2011United StatesPapery2011united-statespaper
Tree Data Framework for Code Generation: Application of Generating UVM Testbench for Complex DesignsChenhui Huang, Yu Sun, Divyang Agrawal2023United StatesPapery2023united-statespaper
Tree Data Framework for Code Generation: Application of Generating UVM Testbench for Complex DesignsChenhui Huang, Yu Sun, Divyang Agrawal2023United StatesPresentationy2023united-statespresentation
Trends in Functional Verification: A 2016 Industry StudyHarry D. Foster2017United StatesPapery2017united-statespaper
Trends in Functional Verification: A 2016 Industry StudyHarry D. Foster2017United StatesPresentationy2017united-statespresentation
Trials and Tribulations of Migrating a Native UVM Testbench from Simulation to EmulationVikas Billa, Sundar Haran2017IndiaPresentationy2017indiapresentation
Tried and Tested Speedups for SW-driven SoC SimulationGordon Allan2014United StatesPresentationy2014united-statespresentation
Tried/Tested speedups for SW-driven SoC SimulationGordon Allan2014United StatesPapery2014united-statespaper
Tutorial 7 Tutorial on RISC-V Design and VerificationKevin McDermott, Zdenek Prikryl, and Peter Shields2018EuropePresentationy2018europepresentation
Tutorial creating effective formal testbenchHiroshi Nonoshita2023JapanPresentationy2023japanpresentation
Tutorial IP-XACT IEEE 1685 from 101 to latest infoKoji Nakamura2023JapanPresentationy2023japanpresentation
Tutorial RTL Verification using PythonAkio Mitsuhashi2023JapanPresentationy2023japanpresentation
Tutorial SoC Verification StrategySeiichi Futami2023JapanPresentationy2023japanpresentation
Tweak-Free Reuse Using OVMSharon Rosenberg2010United StatesPapery2010united-statespaper
TwIRTee design exploration with Capella and IP-XACTPhilippe Cuenot, Bassem Ouni, and Pierre Gaufillet2016EuropePresentationy2016europepresentation
TwIRTee: design exploration with Capella and IP-XACTBassem Ouni, Philippe Cuenot, and Pierre Gaufillet2016EuropePapery2016europepaper
Two Stage Framework for Corner Case Stimuli Generation Using Transformer and Reinforcement LearningChung An Wang, Chiao Hua Tseng, Chia Cheng Tsai, Tung Yu Lee, Yen Her Chen, Chien Hsin Yeh, Chia Shun Yeh, Chin Tang Lai MediaTek, Hsinchu, Taiwan2022United StatesPresentationy2022united-statespresentation
Two-Stage Framework for Corner Case Stimuli Generation Using Transformer and Reinforcement LearningChung-An Wang, Chiao-Hua Tseng, Chia-Cheng Tsai, Tung-Yu Lee, Yen-Her Chen, Chien-Hsin Yeh, Chia-Shun Yeh, and Chin-Tang Lai2022United StatesPapery2022united-statespaper
Types of Robustness Test According to DO-254 Guideline for Avionic SystemsGözde Asena KILINÇ, Yavuz AKSU, Fatih BAYSAL2022EuropePapery2022europepaper
Types of Robustness Test According to DO-254 Guideline for Avionic SystemsGözde Asena KILINÇ, Yavuz AKSU, Fatih BAYSAL2022EuropePostery2022europeposter
Types of Robustness Test According to DO-254 Guideline for Avionic SystemsGözde Asena KILINÇ, Yavuz AKSU, Fatih BAYSAL2022EuropePresentationy2022europepresentation
UCIe based Design VerificationAnunay Bajaj, Sundararajan Ananthakrishnan2023IndiaPresentationy2023indiapresentation
UCIS APPLICATIONS: IMPROVING VERIFICATION PRODUCTIVITY, SIMULATION THROUGHPUT, AND COVERAGE CLOSURE PROCESSAhmed Yehia2013United StatesPapery2013united-statespaper
UCIS Applications: Improving Verification Productivity, Simulation Throughput, and Coverage Closure ProcessAhmed Yehia2013United StatesPresentationy2013united-statespresentation
Ultimate Shift Left: Unleash the Power of UVM Virtual LAB Methodology upon SoC VerificationRoman Wang2018United StatesPapery2018united-statespaper
Ultimate Shift Left: Unleash the Power of UVM Virtual LAB Methodology upon SoC VerificationRoman Wang2018United StatesPostery2018united-statesposter
Unconstrained UVM SystemVerilog PerformanceWes Queen and Justin Sprague2013United StatesPapery2013united-statespaper
Unconstrained UVM SystemVerilog PerformanceWes Queen2013United StatesPostery2013united-statesposter
Uncover: Functional Coverage Made EasyAkash S, Rahul Jain, Gaurav Agarwal2019IndiaPresentationy2019indiapresentation
Uncover: Functional Coverage Made EasyAkash S, Rahul Jain, Gaurav Agarwal, Nvidia Graphics2019IndiaPapery2019indiapaper
Understanding the effectiveness of your system-level SoC stimulus suiteRobert Fredieu, Alan Hunter, and Andreas Meyer2014EuropePapery2014europepaper
Understanding the effectiveness of your system-level SoC stimulus suiteAlan Hunter , Robert Fredieu, and Andreas Meyer2014EuropePostery2014europeposter
Understanding the Low Power AbstractGary Delp, Erich Marschner, and Kenneth Bakalar2010United StatesPapery2010united-statespaper
Understanding the RISC-V Verification Ecosystemimon Davidmann, Aimee Sutton, Lee Moore2023United StatesPresentationy2023united-statespresentation
Unified Firmware Debug throughout SoC Development LifecycleDimitri Ciaglia, Thomas Winkler, Jurica Kundrata2022EuropePapery2022europepaper
Unified firmware debug throughout SoC development lifecycleD. Ciaglia, T. Winkler, J. Kundrata2022EuropePresentationy2022europepresentation
Unified Functional and Performance FrameworkA Case Study of Cache: Using SystemC TLM Non Blocking InterfacesChaitra K V2017IndiaPresentationy2017indiapresentation
Unified Functional Safety Verification Platform for ISO 26262 Compliant AutomotiveJoerg Richter2019EuropePresentationy2019europepresentation
Unified Model/Hardware-in-the-Loop Methodology for Mixed-Signal System Design and Hardware PrototypingMartin Barnasconi, Wil Kitzen, Thieu Lammers, Paul Ehrlich, Karsten Einwich2021EuropePapery2021europepaper
Unified Test Writing Framework for Pre and Post Silicon VerificationRahulkumar Patel, Pablo Cholbi, Sivasubrahmanya Evani, Raman K2019IndiaPresentationy2019indiapresentation
Unified Test Writing Framework for Pre and Post Silicon VerificationRahulkumar Patel, Pablo Cholbi, Sivasubrahmanya Evani, Raman K2019IndiaPapery2019indiapaper
Unified Test Writing Framework for Pre and Post Silicon VerificationRahulkumar Patel, Pablo Cholbi, Sivasubrahmanya Evani and Raman K2019EuropePresentationy2019europepresentation
Unifying Hardware-Assisted Verification and Validation Using UVM and EmulationHemant Sharma, Hans van der Schoot, and Achutam Murarka2013United StatesPapery2013united-statespaper
Unifying Hardware-Assisted Verification and Validation Using UVM and EmulationHemant Sharma, Hans van der Schoot, and Achutam Murarka2013United StatesPostery2013united-statesposter
Unifying Mixed-Signal and Low-Power VerificationAdam Sherer, Andre Baguenier, Kawe Fotouhi, Abhijit Madhu Kumar, Qingyu Lin, Raj Mitra, and William Winkeler2018EuropePresentationy2018europepresentation
Unique Verification Case Studies of Low Power Mixed Signal ChipsVenkatesh Ranga, Madhusudhan Subramanya, Anand Shirwal, Pramod Rajan K S, and Jeff Goswick2016United StatesPapery2016united-statespaper
Unique Verification Case Studies of Low Power Mixed Signal ChipsJeff Goswick, Venkatesh Ranga, Madhusudhan Subramanya, Anand Shirwal, and Pramod Rajan K S2016United StatesPresentationy2016united-statespresentation
Universal Scripting Interface for SystemCRolf Meyer, Jan Wagner, Rainer Buchty, and Mladen Berekovic2015EuropePapery2015europepaper
Universal Scripting Interface for SystemCRolf Meyer2015EuropePresentationy2015europepresentation
Unleashing Portable Stimulus Productivity with a PSS Reuse StrategyM. Ballance2019United StatesPapery2019united-statespaper
Unleashing Portable Stimulus Productivity with a Reuse StrategyMatthew Balance2019United StatesPresentationy2019united-statespresentation
Unleashing the Full Power of UPF Power StatesErich Marschner and John Biggs2015United StatesPresentationy2015united-statespresentation
Unleashing the Full Power of UPF Power StatesErich Marschner and John Biggs2015United StatesPapery2015united-statespaper
Unleashing the Power of UPF 3.0: An Innovative Approach for Faster and Robust Low-power CoverageAwashesh Kumar, Madhur Bhargava2017IndiaPresentationy2017indiapresentation
Unraveling the Complexities of Functional Coverage: An advanced guide to simplify your use modelThomas Ellis and Rohit Jain2018United StatesPapery2018united-statespaper
Unraveling the Complexities of Functional Coverage: An advanced guide to simplify your use modelThom Ellis and Rohit Jain2018United StatesPostery2018united-statesposter
Unveil the Mystery of Code Coverage in Low Power Designs (Achieving Power Aware Verification Closure)Madhur Bhargava, Durgesh Prasad, and Pavan Rangudu2018United StatesPresentationy2018united-statespresentation
Unveil the Mystery of Code Coverage in Low-Power Designs: Achieving Power Aware Verification ClosureMadhur Bhargava, Durgesh Prasad, and Pavan Rangudu2018United StatesPapery2018united-statespaper
UPF 1.0, UPF 2.0, UPF 2.1, UPF 3.0, and now UPF 3.1: The big Q “Which is the Right Standard for My Design”?Madhur Bhargava2020United StatesPresentationy2020united-statespresentation
UPF Code Coverage and Corresponding Power Domain Hierarchical Tree for DebuggingShang-Wei Tu, Tom Lin, Archie Feng, and Chen Ya Ping2015United StatesPapery2015united-statespaper
UPF GENERIC REFERENCES: UNLEASHING THE FULL POTENTIALDurgesh Prasad and Jitesh Bansal2016United StatesPapery2016united-statespaper
UPF Generic References: Unleashing the Full PotentialJitesh Bansal and Durgesh Prasad2016United StatesPresentationy2016united-statespresentation
UPF Power Models: Empowering the power intent specificationAmit Srivastava and Harsh Chilwal2018EuropePapery2018europepaper
UPF: How to avoid traps in a Hierarchical Implementation Low Power flow?Frederic Saint-Preux,2017EuropePresentationy2017europepresentation
UPF: How to avoid traps in a Hierarchical Implementation Low Power flow?Frederic Saint-Preux2017EuropePapery2017europepaper
Use of Aliasing in SystemVerilog Verification EnvironmentEvean Qin2020United StatesPostery2020united-statesposter
Use of Aliasing in SystemVerilog Verification EnvironmentEvean Qin2020United StatesPapery2020united-statespaper
Use of CDC-jitter-modeling in clock-domaincrossing- circuits in RTL design phaseJan Hayek, Jochen Neidhardt, and Robert Richter2017EuropePapery2017europepaper
Use of CDC-Jitter-Modeling inClock-Domain-Crossing-Circuits in RTL Design PhaseJan Hayek, JochenNeidhardt, and Robert Richter2017EuropePresentationy2017europepresentation
Use of Formal Methods for verification and optimization of Fault Lists in the scope of ISO26262Felipe Augusto da Silva, Ahmet Cagri Bagbaba, Said Hamdioui, and Christian Sauer2018EuropePapery2018europepaper
Use of Formal Methods for verification and optimization of Fault Lists in the scope of ISO26262Felipe A. da Silva, Ahmet C. Bagbaba, Said Hamdioui and Christian Sauer2018EuropePresentationy2018europepresentation
Use of Message Bus Interface to Verify Lane Margining in PCIeAnkita Vashisht, Narasimha Babu G V L2019IndiaPapery2019indiapaper
Use of Portable Stimulus to Verify Task Dispatching and Scheduling Functions in an LTE SwitchAdnan Hamid2017United StatesPapery2017united-statespaper
Use Stimulus Domain for Systematic Exploration of Time Dimension and Automatic Testcase ConstructNing Chen and Martin Ruhwandl2018EuropePapery2018europepaper
User Experiences with the Portable Stimulus StandardTom Fitzpatrick, Prabhat Gupta, Mike Chin2023United StatesPresentationy2023united-statespresentation
User Experiences with the Portable Stimulus StandardTom Fitzpatrick, Prabhat Gupta, Mike Chin2023United StatesPresentationy2023united-statespresentation
Using a Generic Plug and Play Performance Monitor for SoC VerificationAmbar Sarkar, Kaushal Modi, Janak Patel, Bhavin Patel, Ajay Tiwari2015IndiaPresentationy2015indiapresentation
Using a Generic Plug and Play Performance Monitor for SoC VerificationAjay Tiwari, Bhavin Patel, Janak Patel, Kaushal Modi2015IndiaPapery2015indiapaper
Using a modern build system to speed up complex hardware designVarun Koyyalagunta2023United StatesPresentationy2023united-statespresentation
Using a modern software build system to speed up complex hardware designVarun Koyyalagunta2023United StatesPapery2023united-statespaper
Using Advanced OOP Concepts To Integrate Templatized Algorithms for Standard Protocols With UVMAnunay Bajaj and Gaurav Chugh2013United StatesPresentationy2013united-statespresentation
Using Advanced OOP Concepts To Integrate Templatized Algorithms for Standard Protocols With UVMAnunay Bajaj and Gaurav Chugh2013United StatesPapery2013united-statespaper
Using an Enhanced Verification Methodology for Back-to-Back RTL/TLM SimulationFrank Poppen, Ralph Görgen, Kai Schulz, Andreas Mauderer, Jan-Hendrik Oetjens, Robert Bosch, Joachim Gerlach, and Hochschule, Albstadt-Sigmaringen2017EuropePresentationy2017europepresentation
Using an Enhanced Verification Methodology for Back-to-Back RTL/TLM SimulationFrank Poppen, Ralph Görgen, Kai Schulz, Andreas Mauderer, Jan-Hendrik Oetjens, Robert Bosch, Joachim Gerlach, and Hochschule Albstadt-Sigmaringen2017EuropePapery2017europepaper
Using Assertions in an Active W ay to Design and Verify Interface between Analog and Digital B locksHyunDon Kim, Jiang Long, Wesley Park, ChiHo Cha, JaeBeom Kim, Byeong Min, and KyuMyung Choi2010United StatesPapery2010united-statespaper
Using Automation to Close the Loop Between Functional Requirements and Their VerificationBrian Craw, David Crutchfield, Martin Oberkoenig, Markus Heigl, and Martin O’Keeffe2018United StatesPapery2018united-statespaper
Using Automation to Close the Loop Between Functional Requirements and Their VerificationBrian Craw, David Crutchfield, Martin Oberkoenig, Markus Heigl, and Martin O’Keeffe2018United StatesPresentationy2018united-statespresentation
Using Cadence and MathWorksTools Together for Mixed-Signal Design and VerificationGraham Reith and Andrew Beckett2017EuropePresentationy2017europepresentation
Using Constraints for SystemC AMS Design and VerificationThilo Vörtler, Karsten Einwich, Muhammad Hassan, and Daniel Große2018EuropePapery2018europepaper
Using Constraints for SystemC AMS Design and VerificationThilo Vörtler, Karsten Einwich, Muhammad Hassan, and Daniel Große2018EuropePresentationy2018europepresentation
Using Dependency Injection Design Pattern in Power Aware TestsMehmet Tukel, Luca Sasselli, David Guthrie2021EuropePapery2021europepaper
Using Formal Applications to Create Pristine IPsLee Burns, David Crutchfield, Bob Metzler, and Hithesh Velkooru2017United StatesPapery2017united-statespaper
Using Formal Applications to Create Pristine IPsLee Burns, David Crutchfield, and Hithesh Velkooru2017United StatesPresentationy2017united-statespresentation
Using Formal Techniques to Verify SoC Reset SchemesKaowen Liu, Penny Yang, Mark Eslinger, Jeremy Levitt, and Matt Berman2013United StatesPostery2013united-statesposter
Using Formal Techniques to Verify System on Chip Reset SchemesKaowen Liu, Penny Yang, Jeremy Levitt, Matt Berman, and Mark Eslinger2013United StatesPapery2013united-statespaper
Using Formal to Exaustively Determine Unsafe Clock Ratios Between Asynchronous BlocksEric Hendrickson, Bill Au, Richard Llaca, and Joe Hupcey III2018United StatesPapery2018united-statespaper
Using Formal to Exhaustively Determine Unsafe Clock Ratios Between Asynchronous BlocksEric Hendrickson, Bill Au, Richard Llaca, and Joe Hupcey III2018United StatesPresentationy2018united-statespresentation
Using Formal to Prevent DeadlocksAbdelouahab Ayari, Mark Eslinger and Joe Hupcey III2020EuropePapery2020europepaper
Using Formal Verification to Exhaustively Verify SoC AssembliesMark Handover and Kenny Ranerup2013United StatesPresentationy2013united-statespresentation
Using Formal Verification to Exhaustively Verify SoC AssembliesKenny Ranerup and Mark Handover2013United StatesPapery2013united-statespaper
Using High-level Synthesis and Emulation to Rapidly Develop AI Algorithms in HardwareJohn Stickley and Petri Solanti2018EuropePresentationy2018europepresentation
Using HLS to improve Design-for-Verification of multi-pipeline designs with resource sharingSarmad Dahir, Nils Luetke-Steinhorst, Christian Sauer2021EuropePapery2021europepaper
Using IP-XACT IEEE1685-2014Prashant Karandikar 2015IndiaPresentationy2015indiapresentation
Using Machine Learning in Register Automation and VerificationNikita Gulliya, Abhishek Bora, Nitin Chaudhary, and Amanjyot Kaur2019United StatesPapery2019united-statespaper
Using Machine Learning in Register Automation and VerificationNikita Gulliya, Abhishek Bora, Nitin Chaudhary, and Amanjyot Kaur2019United StatesPresentationy2019united-statespresentation
Using Modal Analysis to Increase Clock Domain Crossing (CDC) Analysis Efficiency and AccuracyKurt Takara, Gargi Sharma, Ajay Thadhlani, and Bhrugurajsinh Chudasama2019United StatesPresentationy2019united-statespresentation
Using Modal Analysis to Increase Clock Domain Crossing (CDC) Analysis Efficiency and AccuracyKurt Takara, Gargi Sharma, Ajay Thadhlani, and Bhrugurajsinh Chudasama2019United StatesPapery2019united-statespaper
Using Model Checking to Prove Constraints of Combinational Equivalence CheckingXiushan Feng, Joseph Gutierrez, Mel Pratt, Mark Eslinger, and Noam Farkash2010United StatesPapery2010united-statespaper
Using Mutation Coverage for Advanced Bug HuntingVladislav Palfy and Nicolae Tusinschi2018United StatesPresentationy2018united-statespresentation
Using Mutation Coverage for Advanced Bug Hunting and Verification SignoffNicolae Tusinschi2018EuropePresentationy2018europepresentation
Using Open-Source EDA Tools in an Industrial Design FlowDaniela Sánchez Lopera, Sven Wenzek, Wolfgang Ecker2022EuropePapery2022europepaper
Using Open-Source EDA Tools in an Industrial Design FlowDaniela Sánchez Lopera, Prajwal Kashyap, Nicolas Gerlin, Sven Wenzek, Wolfgang Ecker2022EuropePresentationy2022europepresentation
Using Portable Stimulus to Verify an ARMv8 Sub-System SoC IntegrationMike Baird and Aileen Honess2019United StatesPresentationy2019united-statespresentation
Using Portable Stimulus to Verify an LTE Base-Station SwitchAdnan Hamid2017United StatesPostery2017united-statesposter
Using Portable Stimulus to Verify Cache Coherency in a Many-Core SoCAdnan Hamid and Raja Pantangi2016United StatesPresentationy2016united-statespresentation
Using Portable Stimulus to Verify Cache Coherency in a Many-Core SoCAdnan Hamid, David Koogler, and Thomas L. Anderson2016United StatesPapery2016united-statespaper
Using PSS-2.0 Hardware-Software Interface to validate 4G/5G Forward Error Correction Encoder/Decoder IP in Emulation & SiliconVinit Shenoy, Suresh Vasu, Nithin Venkatesh, Suhas Reddy, Joydeep Maitra2022United StatesPapery2022united-statespaper
Using Save/Restore is Easy, Right? A User’s Perspective on Deploying Save/Restore in a Mature Verification MethodologyEd Powell, Ron Thurgood, and Aneesh Samudrala2019United StatesPapery2019united-statespaper
Using Save/Restore is easy, Right?A User’s Perspective on Deploying Save/RestoreRon Thurgood, Ed Powell, and Aneesh Samudrala2019United StatesPresentationy2019united-statespresentation
Using Simulation Acceleration to Achieve 100X Performance Improvement with UVM Based TestbenchesNarla Venkateswara Rao, K Ranjith Kumar, Vikas Verma, Gautam Kumar2014IndiaPapery2014indiapaper
Using Simulation Acceleration to Achieve 100X Performance Improvement with UVM Based TestbenchesNarla Venkateswara Rao, Ranjith Kumar K, Vikas Verma, Gautam Kumar2014IndiaPresentationy2014indiapresentation
Using Software Design Patterns in Testbench Development for a Multi-layer ProtocolPavan Yeluri, Ranjith Nair2019IndiaPapery2019indiapaper
Using Static RTL Analysis to Accelerate Satellite FPGA VerificationAdam Taylor and Dave Wallace2020United StatesPresentationy2020united-statespresentation
Using SystemVerilog “Interfaces” as Object-Oriented RTL ModulesGeoff Barnes2010United StatesPapery2010united-statespaper
Using SystemVerilog Interfaces and Structs for RTL DesignTom Symons and Nihar Shah2014United StatesPapery2014united-statespaper
Using SystemVerilog Interfaces and Structs for RTL DesignTom Symons and Nihar Shah2014United StatesPresentationy2014united-statespresentation
Using SystemVerilog Packages in Real Verification ProjKaiming Ho2010United StatesPapery2010united-statespaper
Using Test-IP Based Verification Techniques in a UVM EnvironmentVidya Bellippady, Sundar Haran, and Jay O’Donnell2014United StatesPostery2014united-statesposter
Using UPF Information Model APIs to write re-usable Low Power Testbenches and customized coverage models for Low PowerShreedhar Ramachandra and Himanshu Bhatt2019United StatesPostery2019united-statesposter
Using UVM Virtual Sequencers & Virtual SequencesClifford E. Cummings and Janick Bergeron2016United StatesPapery2016united-statespaper
Using UVM-e Testbenches Utilizing Metric-Driven Verification and Advanced Debug CapabilitiesHimanshu Rawal, Vijay Kumar Birange, Daniel Bayer2022IndiaPostery2022indiaposter
Using UVM-ML library to enable reuse of TLM2.0 models in UVM test benchesSarmad Dahir, Hans-Martin Bluethgen, Rafael Zuralski, Nils Luetke-Steinhorst, and Christian Sauer2018EuropePapery2018europepaper
Using UVM-ML library to enable reuse of TLM2.0 models in UVM test benchesSamah Dahir2018EuropePresentationy2018europepresentation
Using UVM: The Condensed Guide For Designers, Debuggers, Test-Writers And Other SkepticsGordon Allan2013United StatesPapery2013united-statespaper
Using UVM: The Condensed Guide For Designers, Debuggers, Test-Writers And Other SkepticsGordon Allan2013United StatesPresentationy2013united-statespresentation
Utilization of Emulation for accelerating the Functional Verification ClosureVarun Kumar C, Sekhar Dangudubiyyam, Madhukar Ramegowda2022IndiaPostery2022indiaposter
Utilization of RNM to confirm specification consistency between digital analogTakashi Honda2022JapanPresentationy2022japanpresentation
Utilizing Technology Implementation Data in blended hardware/software power optimization.Theodore Wilson and Frank Schirrmeister2019United StatesPostery2019united-statesposter
UVM – Stop Hitting Your Brother Coding GuidelinesRich Edelman and Chris Spear2020United StatesPapery2020united-statespaper
UVM – Stop Hitting Your Brother Coding GuidelinesChris Spear and Rich Edelman2020United StatesPresentationy2020united-statespresentation
UVM & Emulation Architecting SystemVerilog UVM Testbenches for Simulation-Emulation Portability to Boost Block-to-System Verification Productivity – TutorialHans van der Schoot and Ellie Burns-Brookens2014EuropePresentationy2014europepresentation
UVM & Emulation Creating SystemVerilog & UVM Testbenches for Simulation & Emulation Platform Portability to Boost Block-to-System Verification ProductivityHans van der Schoot2015IndiaPresentationy2015indiapresentation
UVM Acceleration Using Hardware Emulator at Pre-silicon StageSunil Roe, YunGi Um, Hyunwoo Koh, Hyunsun Ahn, Youngsik Kim, and Seonil Brian Choi2018United StatesPapery2018united-statespaper
UVM Acceleration using Hardware Emulator at Pre-silicon StageSunil Roe, YunGi Um, Hyunwoo Koh, Hyunsun Ahn, Youngsik Kim, and Seonil Brian Choi2018United StatesPresentationy2018united-statespresentation
UVM and C – Perfect TogetherRich Edelman2018United StatesPresentationy2018united-statespresentation
UVM and C – Perfect TogetherRich Edelman2018United StatesPapery2018united-statespaper
UVM and Emulation: How to Get Your Ultimate Testbench Acceleration Speed-upHans van der Schoot and Ahmed Yehia2015EuropePapery2015europepaper
UVM and Emulation: How to Get Your Ultimate Testbench Acceleration Speed-upHans van der Schoot and Ahmed Yehia2015EuropePostery2015europeposter
UVM and SystemC Transactions – An UpdateDavid Long and John Aynsley2016United StatesPapery2016united-statespaper
UVM and SystemC Transactions – An UpdateDavid Long2016United StatesPresentationy2016united-statespresentation
UVM and UPF: an application of UPF Information ModelAmit Srivastava, Harsh Chilwal, and Srivatsa Vasudevan2019United StatesPapery2019united-statespaper
UVM and UPF: an application of UPF Information ModelAmit Srivastava, Harsh Chilwal, and Srivatsa Vasudevan2019United StatesPresentationy2019united-statespresentation
UVM Audit Tutorial Assessing UVM Testbenches to Expose Coding Errors & Improve QualityMark Litterick 2018EuropePresentationy2018europepresentation
UVM Based Approach To Model Validation For SV-RNM Behavioral ModelsDonald Lewis and Courtney Fricano2016United StatesPostery2016united-statesposter
UVM Based Approach To Model Validation For SV-RNM Behavioral ModelsDonald Lewis and Courtney Fricano2016United StatesPapery2016united-statespaper
UVM based configurable FSM model for System level verification of DDR5 DIMM High end Server ChipsetVinoth Kumar Subramani and Gagandeep Singh2021IndiaPresentationy2021indiapresentation
UVM based configurable FSM model for System level verification of DDR5 DIMM High end Server ChipsetVinoth Kumar Subramani and Gagandeep Singh2021IndiaPostery2021indiaposter
UVM Based Generic Interrupt Handler (UGIH)Nikhil Singla, Debarati Banerjee2022IndiaPresentationy2022indiapresentation
UVM based Hardware/Software Co- Verification of a HW Coprocessor using Host Execution TechniquesFrançois Cerisier, Christian Rivier, Andrea Battistella, and Arnaud Grasset2018EuropePresentationy2018europepresentation
UVM based Hardware/Software Co-Verification of a HW Coprocessor using Host Execution TechniquesFrançois Cerisier, Christian Rivier, Andrea Battistella and Arnaud Grasset2019EuropePresentationy2019europepresentation
UVM Based Mixed-Signal Verification of a Display PMIC Designed for OLED Display ApplicationsVijay Kumar & Adnan Malik2023United StatesPostery2023united-statesposter
UVM Based Mixed-Signal Verification of a Display PMIC Designed for OLED Display ApplicationsVijay Kumar & Adnan Malik2023United StatesPapery2023united-statespaper
UVM Do’s and Don’ts for Effective VerificationKathleen Meade and Sharon Rosenberg2012United StatesPapery2012united-statespaper
UVM for HLS: An Expedient Approach to the Functional Verification of HLS DesignsDave Burgoon and Robert Havlik2018United StatesPapery2018united-statespaper
UVM for HLS: An Expedient Approach to the Functional Verification of HLS DesignsDave Burgoon and Robert Havlik2018United StatesPresentationy2018united-statespresentation
UVM for RTL DesignersSrinivasan Venkataramanan, Krishna Thottempudi, Praneshsairam A, Mani S2017IndiaPresentationy2017indiapresentation
UVM goesUniversal -IntroducingUVM in SystemCStephan Schulz, Thilo Vörtler, and Martin Barnasconi2015EuropePresentationy2015europepresentation
UVM hardware assisted acceleration with FPGA co-emulationAlex Grove2015EuropePresentationy2015europepresentation
UVM IEEE Shiny ObjectRich Edelman and Moses Satyasekaran2019United StatesPapery2019united-statespaper
UVM IEEE Shiny ObjectRich Edelman2019United StatesPresentationy2019united-statespresentation
UVM Interactive Debug Library: Shortening the Debug Turnaround TimeHorace Chan2017United StatesPapery2017united-statespaper
UVM Interactive Debug Library: Shortening the Debug Turnaround TimeHorace Chan2017United StatesPresentationy2017united-statespresentation
UVM Layering for Protocol Modeling Using State PatternTony George, Girish Gupta, Shim Hojun, and Byung C. Yoo2020United StatesPresentationy2020united-statespresentation
UVM Made Language Agnostic – Introducing UVM For SystemC Application of UVM-SystemCAkhila M2017EuropePapery2017europepaper
UVM Made Language Agnostic: Introducing UVM For SystemCAkhila M2017EuropePresentationy2017europepresentation
UVM mixed signal extensionsSharing Best Practice and Standardization IdeasJoen Westendorp, Sebastian Simon, and Joachim Geishauser2018EuropePresentationy2018europepresentation
UVM Random StabilityAvidan Efody2012United StatesPapery2012united-statespaper
UVM Rapid Adoption: A Practical Subset of UVMStuart Sutherland and Tom Fitzpatrick2015EuropePresentationy2015europepresentation
UVM Rapid Adoption: A Practical Subset of UVMStuart Sutherland and Tom Fitzpatrick2015United StatesPapery2015united-statespaper
UVM Rapid Adoption: A Practical Subset of UVMStuart Sutherland and Tom Fitzpatrick2015United StatesPresentationy2015united-statespresentation
UVM Reactive Stimulus TechniquesCliff Cummings, Heath Chambers, and Stephen Donofrio2020United StatesPresentationy2020united-statespresentation
UVM Ready: Transitioning Mixed-Signal Verification Environments to Universal Verification MethodologyArthur Freitas, Régis Santonja2014EuropePapery2014europepaper
UVM Ready: Transitioning Mixed-Signal Verification Environments to Universal Verification MethodologyArthur Freitas, Régis Santonja2014EuropePresentationy2014europepresentation
UVM Register Map Dynamic ConfigurationMatteo Barbati and Alberto Allara2018EuropePapery2018europepaper
UVM Register Map Dynamic ConfigurationMatteo Barbati and Alberto Allara2018EuropePresentationy2018europepresentation
UVM Register Modelling at the Integration- Level TestbenchWayne Yun2016United StatesPapery2016united-statespaper
UVM Sans UVM An approach to automating UVM testbench writingRich Edelman and Shashi Bhutada2015United StatesPresentationy2015united-statespresentation
UVM Sans UVM: An approach to automating UVM testbench writingRich Edelman and Shashi Bhutada2015United StatesPapery2015united-statespaper
UVM SchmooVM – I Want My C Tests!Rich Edelman and Raghu Ardeishar2014United StatesPapery2014united-statespaper
UVM SchmooVM! – I Want My C Tests!Rich Edelman and Raghu Ardeishar2014United StatesPostery2014united-statesposter
UVM Scoreboards and Checkers Memory, TLB and CacheRich Edelman, C. H. Liu2023TaiwanPapery2023taiwanpaper
UVM Sequence Layering for Register SequencesMuneeb Ulla Shariff, Sangeetha Sekar, Ravi Reddy2023IndiaPresentationy2023indiapresentation
UVM Sequence Layering for Register SequencesMuneeb Ulla Shariff, Sangeetha Sekar, Ravi Reddy2023IndiaPapery2023indiapaper
UVM SystemC Functional coverage & constrained randomizationStephan Gerth, Dragos Dospinescu, Muhammad Hassan, Thilo Vörtler and Manuel Soto 2019EuropePresentationy2019europepresentation
UVM Testbench Considerations for AccelerationKathleen A Meade2014United StatesPapery2014united-statespaper
UVM Testbench Considerations for AccelerationKathleen A Meade2014United StatesPresentationy2014united-statespresentation
UVM testbench design for ISA functional verification of a microprocessorGabriel Wang, Hongtao Ma, and Maoduo Sun2018United StatesPapery2018united-statespaper
UVM testbench design for ISA functional verification of a microprocessorGabriel Wang, Hongtao Ma, and Maoduo Sun2018United StatesPostery2018united-statesposter
UVM Transaction Recording EnhancementsRex Chen, Bindesh Patel, and Jun Zhao2011United StatesPapery2011united-statespaper
UVM Usage for Selective Dynamic Re-configuration of Complex DesignsKunal Panchal, Pushkar Naik2014IndiaPapery2014indiapaper
UVM Usage for Selective Dynamic Re-configuration of Complex DesignsKunal Panchal, Pushkar Naik2014IndiaPresentationy2014indiapresentation
UVM Verification Environment Based on Software Design PatternsD. M. Tomušilović and H. J. Arbel2018United StatesPapery2018united-statespaper
UVM Verification Environment Based on Software Design PatternsDarko M. Tomušilović and Hagai Arbel2018United StatesPresentationy2018united-statespresentation
UVM Working Group Releases 1800.2-2020-2.0 LibrarySrivatsa Vasudevan, Jamsheed Agahi, Mark Strickland2023United StatesPresentationy2023united-statespresentation
uvm_mem – challenges of using UVM infrastructure in a hierarchical verificationJoachim Geishauser, Aditya Chopra, Stephan Ruettiger, Luca Rossi, Sanjay Kakasaniya, L.N. Zhang2022EuropePapery2022europepaper
uvm_mem – challenges of using UVM infrastructure in a hierarchical verificationJoachim Geishauser, Aditya Chopra, Stephan Ruettiger, Luca Rossi, Sanjay Kakasaniya, L.N. Zhang2022EuropePresentationy2022europepresentation
UVM-based extended Low Power Library package with Low Power Multi-Core ArchitecturesAvnita Pal, Priyanka Gharat2023TaiwanPapery2023taiwanpaper
UVM-based Verification of a RISC-V Processor Core Using a Golden Predictor Model and a Configuration LayerMarcela Zachariasova, Lubos Moravec, John Stickley, Hans van der Schoot, and Shakeel Jeeawoody2018United StatesPapery2018united-statespaper
UVM-based verification of a RISC-V Processor Core Using a Golden predictor model and a Configuration LayerMarcela Zachariasova, Lubos Moravec, John Stickley, Hans van der Schoot, and Shakeel Jeeawoody2018United StatesPresentationy2018united-statespresentation
UVM-FM: Reusable Extension Layer for UVM to Simplify Functional ModelingAhmed Kamal2018United StatesPapery2018united-statespaper
UVM-FM: Reusable Extension Layer for UVMto Simplify Functional ModelingAhmed Kamal2018United StatesPostery2018united-statesposter
UVM-Light A Subset of UVM for Rapid AdoptionStuart Sutherland and Tom Fitzpatrick2015EuropePapery2015europepaper
UVM-Multi-Language Hands-OnThorsten Dworzak and Angel Hidalga2017EuropePresentationy2017europepresentation
UVM-Multi-Language Hands-On Integrating a System-C model into a SV-UVM testbenchThorsten Dworzak and Angel Hidalga2017EuropePapery2017europepaper
UVM-RAL: Registers on Demand Elimination of the UnnecessarySailaja Akkem2015IndiaPresentationy2015indiapresentation
UVM-SV Feedback Loop – The Foundation of Self-Improving TestbenchesAndrei Vintila, Sergiu Duda2023United StatesPapery2023united-statespaper
UVM-SV Feedback Loop – The foundation of Self-Improving TestbenchesAndrei Vintila, Sergiu Duda2023United StatesPresentationy2023united-statespresentation
UVM-SystemC Applications in the real worldStephan Schulz, Thilo Vörtler, and Martin Barnasconi2014EuropePresentationy2014europepresentation
UVM-SystemC based hardware in the loop simulations for accelerated Co-VerificationPaul Ehrlich, Thang Nguyen, and Thilo Vörtler2014EuropePapery2014europepaper
UVM-SystemC based hardware in the loop simulations for accelerated Co-VerificationPaul Ehrlich, Thang Nguyen, and Thilo Vörtler2014EuropePresentationy2014europepresentation
UVM-SystemC: Migrating complex verification environmentsStephan Gerth and Akhila Madhukumar2017EuropePresentationy2017europepresentation
UVM, VMM and Native SV: Enabling Full Random Verification at System LevelAshok Chandran2014IndiaPresentationy2014indiapresentation
UVM, VMM and Native SV: Enabling Full Random Verification at System LevelAshok Chandran2014IndiaPapery2014indiapaper
UVM: Conquering LegacySantosh Sarma, Amit Sharma, and Adiel Khan2013United StatesPostery2013united-statesposter
UVM’s MAM to the RescueMichael Baird2015United StatesPapery2015united-statespaper
UVM’s MAM to the RescueMichael Baird2015United StatesPresentationy2015united-statespresentation
UVM/SystemVerilog based infrastructure and testbench automation using scriptsPrakash Parikh2014United StatesPapery2014united-statespaper
UVM/SystemVerilog based infrastructure and testbench automation using scriptsPrakash Parikh2014United StatesPresentationy2014united-statespresentation
UX Design & EDA – Enable Collaboration on Functional Coverage using Opens Source SoftwareBodo Hoppe, Jamie Lai2022EuropePapery2022europepaper
Validation of Timing Constraints on RTL Reducing Risk and Effort on Gate-LevelPeter Limmer, Dirk Moeller, Marcus Mueller and Clemens Roettgermann2016EuropePresentationy2016europepresentation
Validation of Timing Constraints on RTL Reducing Risk and Effort on Gate-LevelPeter Limmer, Dirk Moeller, Marcus Mueller, and Clemens Roettgermann2016EuropePapery2016europepaper
Veloce HYCON: Software-enabled SoC verification and validation on day 1Jeffrey Chen2021ChinaPresentationy2021chinapresentation
Verification 2.0 – Multi Engine, Multi-Run – AI-Driven VerificationMatt Graham2022EuropePapery2022europepaper
Verification 2.0 – Multi Engine, Multi-Run AI-Driven VerificationMatt Graham2022EuropePresentationy2022europepresentation
Verification 2.0 – Multi-Engine, Multi-Run AI Driven VerificationMatt Graham2023United StatesPresentationy2023united-statespresentation
Verification Challenges & Solutions of 10BaseT1s Automotive Ethernet PHYHarshdeep Verma, Vedansh Seth2023TaiwanPapery2023taiwanpaper
Verification Challenges For Deep Color Mode In HDMISnigdha Arora and Apoorva Mathur2016EuropePresentationy2016europepresentation
Verification Challenges for Deep Color Mode in HDMISnigdha Arora and Apoorva Mathur2016EuropePapery2016europepaper
Verification Environment Automation from RTLZhidong Chen, Yunyang Song, Wenting Hou, Junna Qiao, Junxia Wang, Ling Bai, and Kei-Wang Yiu2015United StatesPapery2015united-statespaper
Verification Environment Automation from RTLZhidong Chen, Yunyang Song, Wenting Hou, Junna Qiao, Junxia Wang, Ling Bai, and Kei-Wang Yiu2015United StatesPostery2015united-statesposter
Verification IP for Complex Analog and Mixed-Signal BehaviorThilo Vörtler and Karsten Einwich2017EuropePresentationy2017europepresentation
Verification IP for Complex Analog and Mixed-Signal BehaviorThilo Vörtler and Karsten Einwich2017EuropePapery2017europepaper
Verification Learns a New Language: – An IEEE 1800.2 ImplementationRay Salemi, Tom Fitzpatrick2021United StatesPapery2021united-statespaper
Verification Macros: Maintain the integrity of verifiable IP UPF through integrationAmit Srivastava, Shreedhar Ramachandra2023United StatesPapery2023united-statespaper
Verification Macros: Maintain the integrity of verifiable IP UPF through integrationAmit Srivastava, Shreedhar Ramachandra2023United StatesPostery2023united-statesposter
Verification Methodology for Functional Safety Critical Work LoadsG Prashanth Reddy and Debajyoti Mukherjee2021IndiaPostery2021indiaposter
Verification Methodology for Functional Safety Critical Work LoadsG Prashanth Reddy and Debajyoti Mukherjee2021IndiaPresentationy2021indiapresentation
Verification Mind GamesJeffrey Montesano and Mark Litterick2014United StatesPapery2014united-statespaper
Verification Mind GamesJeffrey Montesano and Mark Litterick2014United StatesPostery2014united-statesposter
Verification of a Multi-language Components A case Study: Specman E Env with SV UVM VIPEran Lahav2020EuropePoster, Presentationy2020europeposter presentation
Verification of a Multi-language Components A Case Study: Specman E Environment with SystemVerilog UVMEran Lahav2020EuropePapery2020europepaper
Verification of Accelerators in System ContextRussell A. Klein2019United StatesPostery2019united-statesposter
Verification of an Image Processing Mixed-Signal ASICKevin Buescher, Milos Becvar, Greg Tumbush, and David Jenkins2016United StatesPapery2016united-statespaper
Verification of Clock Domain Crossing Jitter and Metastability Tolerance using EmulationAshish Hari, Suresh Krishnamurthy, Amit Jain, and Yogesh Badaya2012United StatesPapery2012united-statespaper
Verification of High-Speed Links through IBIS-AMI ModelsGanesh Rathinavel2022EuropePresentationy2022europepresentation
Verification of High-Speed Links through IBIS-AMI ModelsGanesh Rathinavel2022EuropePapery2022europepaper
Verification of Inferencing Algorithm AcceleratorsRussell Klein, Petri Solanti2022EuropePapery2022europepaper
Verification of Inferencing Algorithm AcceleratorsRussell Klein, Petri Solanti2022EuropePresentationy2022europepresentation
Verification of Inferencing Algorithm AcceleratorsRussell Klein, Petri Solanti2023United StatesPresentationy2023united-statespresentation
Verification of Virtual Platform Models – What do we Mean with Good Enough?Jakob Engblom, Ola Dahl2022EuropePapery2022europepaper
Verification of Virtual Platform Models – What do we Mean with Good Enough?Ola Dahl, Jakob Engblom2022EuropePresentationy2022europepresentation
Verification Patterns – Taking Reuse to the Next LevelHarry Foster, Michael Horn, Bob Oden, Pradeep Salla, and Hans van der Schoot2016United StatesPapery2016united-statespaper
Verification Patterns in the Multicore SoC DomainGordon Allan2011United StatesPapery2011united-statespaper
Verification Reuse for a Non-Transaction Based Design across Multiple PlatformsLuis Li, Pablo Salazar, and Andrés Cordero2019United StatesPostery2019united-statesposter
Verification Reuse Strategy for RTL Quality SoC Functional Virtual PrototypesRajesh Kumar Jain, Gaurav Sharma, Marcel Achim, Ashish Mathur, Prateek Sikka2022IndiaPresentationy2022indiapresentation
Verification Strategies and Modelling for the Uninvited Guest in the System: Clock JitterDeepak Nagaria, Vikas Makhija, Apoorva Mathur2019IndiaPapery2019indiapaper
Verification strategy for pipeline type of designDjuro Grubor2018United StatesPapery2018united-statespaper
Verification Strategy for Pipeline Type of DesignDjuro Grubor2018United StatesPostery2018united-statesposter
Verification Techniques for CPU Simulation ModelSandeep Jain, Gaurav Sharma2015IndiaPresentationy2015indiapresentation
Verification with multi-core parallel simulations: Have you found your sweet spot yet?Rohit K Jain and Shobana Sudhakar2016United StatesPapery2016united-statespaper
Verification with multi-core parallel simulations: Have you found your sweet spot yet?Rohit K Jain and Shobana Sudhakar2016United StatesPostery2016united-statesposter
Verifying clock-domain crossing at RTL IP level using coverage-driven methodologyJean-François Vizier, Dennis Ramaekers, and Zheng Hai Zhou2010United StatesPapery2010united-statespaper
Verifying Functional, Safety and Security Requirements (for Standards Compliance)Mike Bartley2015EuropePresentationy2015europepresentation
Verifying functionality is simply not enoughRajesh Bawankule2013United StatesPostery2013united-statesposter
Verifying functionality is simply not enoughRajesh Bawankule2013United StatesPapery2013united-statespaper
Verifying Layered Protocols – Leveraging Advanced UVM CapabilitiesParag Goel and Amit Sharma2013United StatesPapery2013united-statespaper
Verifying Layered Protocols – Leveraging Advanced UVM CapabilitiesParag Goel2013United StatesPresentationy2013united-statespresentation
Verifying Multiple DUV Representations with a Single UVM-e TestbenchMatt Graham2014United StatesPapery2014united-statespaper
Verifying Multiple DUV Representations with a Single UVM-e TestbenchMatt Graham2014United StatesPostery2014united-statesposter
Verifying RO registers: Challenges and the solutionIvana Dobrilovic2023United StatesPapery2023united-statespaper
Verifying RO registers: Challenges and the solutionIvana Dobrilovic2023United StatesPresentationy2023united-statespresentation
Verifying the I/O peripherals of OpenTitan SOC using the Portable Stimulus StandardMahesh R, Bidisha Das, Raj S Mitra, Loganath Ramachandran Ph.D., Viraphol Chaiyakul Ph.D.2022IndiaPresentationy2022indiapresentation
Verifying the I/O peripherals of OpenTitan SOC using the Portable Stimulus StandardMahesh R, Bidisha Das, Raj S Mitra, Loganath Ramachandran Ph.D., Viraphol Chaiyakul Ph.D.2022IndiaPapery2022indiapaper
Versatile UVM ScoreboardingJacob Andersen, Peter Jensen, and Kevin Steffensen2014EuropePresentationy2014europepresentation
Versatile UVM ScoreboardingJacob Andersen, Peter Jensen, and Kevin Steffensen2015United StatesPapery2015united-statespaper
Versatile UVM ScoreboardingJacob Andersen, Peter Jensen, and Kevin Steffensen2015United StatesPostery2015united-statesposter
Versatile UVM ScoreboardingJacob Andersen, Peter Jensen, and Kevin Steffensen2014EuropePapery2014europepaper
Vertical Reuse of functional verification from subsystem to SoC level (with seamless SoC emulation)Pranav Kumar, Digvijaya Pratap SINGH, and Ankur Jain2014EuropePresentationy2014europepresentation
VHDL 2018 New and NoteworthyHendrik Eeckhaut and Lieven Lemiengre2018United StatesPresentationy2018united-statespresentation
VHDL 2018: New and NoteworthyL. Lemiengre and H. Eeckhaut2018United StatesPapery2018united-statespaper
VIP ShieldingJeremy Ridgeway and Karishma Dhruv2014United StatesPapery2014united-statespaper
VIP ShieldingJeremy Ridgeway and Karishma Dhruv2014United StatesPostery2014united-statesposter
VirtIO based GPU modelPratik Parvati2022IndiaPresentationy2022indiapresentation
Virtual Platform for a Multi-Chip WLAN System Using a Generic IO Connectivity SchemeRajesh Jain, Sandeep Jain2017IndiaPresentationy2017indiapresentation
Virtual Platform for Software Enablement and Hardware VerificationRajesh Jain, Sandeep Jain, Sumail Singh Brar, Sourav Roy2015IndiaPresentationy2015indiapresentation
Virtual Platforms for Automotive: Use Cases, Benefits and ChallengesAngela Kramer and Martin Vaupel 2014EuropePresentationy2014europepresentation
Virtual Platforms for complex IP within system contextRocco Jonack2015EuropePresentationy2015europepresentation
Virtual Platforms to Shift-Left Software Development and System VerificationRoss Dickson and Pankaj Kakkar2022United StatesPresentationy2022united-statespresentation
Virtual Prototypes and PlatformsA PrimerEyck Jentzsch, Rocco Jonack, and Josef Eckmüller2017EuropePresentationy2017europepresentation
Virtual Prototyping in SpaceFibre System-on-Chip DesignIlya Korobkov2015EuropePresentationy2015europepresentation
Virtual Prototyping in SpaceFibre System-on-Chip Design System-level designElena Suvorova2015EuropePapery2015europepaper
Virtual Prototyping of Power Converter Systems based on AURIX™ using SystemC AMSRadovan Vuletic, Dineshkumar Selvaraj, Thomas Arndt2021EuropePapery2021europepaper
Virtual Prototyping using SystemC and TLM-2.0John Aynsley2014EuropePresentationy2014europepresentation
Virtual Sequencers & Virtual SequencesClifford E. Cummings and Janick Bergeron2016United StatesPresentationy2016united-statespresentation
Virtual Test: Simulating ATE Vectors in a SystemVerilog Testbench for Faster Time to MarketJohn Mackintosh, Matthew Borto, Cecil Stone, David Brownell, and Courtney Fricano2015United StatesPapery2015united-statespaper
Virtual Test: Simulating ATE Vectors in a SystemVerilog Testbench for Faster Time to MarketJohn Mackintosh, Matthew Borto, Cecil Stone, David Brownell, and Courtney Fricano2015United StatesPostery2015united-statesposter
VirtualATE: SystemC support for Automatic Test EquipmentNitin Garg, Shabarish Sundar, Amaranath Reddy, Sacha Loitz2015IndiaPostery2015indiaposter
Vlang A System Level Verification PerspectivePuneet Goel2015IndiaPapery2015indiapaper
Vlang A System Level Verification PerspectivePuneet Goel2015IndiaPresentationy2015indiapresentation
VP Performance Optimization – How to analyze and optimize the speed of SystemC TLM models?Rocco Jonack and Juan Lara Ambel2014EuropePapery2014europepaper
VP Performance Optimization – How to analyze and optimize the speed of SystemC TLM models?Rocco Jonack and Juan Lara Ambel2014EuropePresentationy2014europepresentation
VP Quality Improvement MethodologyMeghana Moorthy, Melwyn Scudder, Kartik Shah2015IndiaPostery2015indiaposter
Walking the Graph: A Holistic Approach to Graph-based Verification for Logic with Sparse State SpaceSandeep Korrapati, Holger Horbach, Klaus Keuerleber, Alexander Jung2015IndiaPresentationy2015indiapresentation
Want a Boost in your Regression Throughput? Simulate common setup phase only once.Rohit K Jain and Shobana Sudhakar2015United StatesPapery2015united-statespaper
Want a Boost in your Regression Throughput? Simulate common setup phase only once.Rohit K Jain and Shobana Sudhakar2015United StatesPostery2015united-statesposter
Watch Out! Generating Coordinated Random Traffic in UVMNigasan Ragunathan, Christine Thomson2021United StatesPapery2021united-statespaper
Wave Digital Filter Modeling for Complex Automotive Sensor Load Case VerificationAndrei-Daniel Basa, Thang Nguyen, and Dirk Hammerschmidt2015EuropePapery2015europepaper
Wave Digital Filter Modeling for Complex Automotive Sensor Load Case VerificationA. Basa, T. Nguyen, and D. Hammerschmidt2015EuropePresentationy2015europepresentation
Weathering the Verification Storm: Methodology Enhancements used on a Next Generation Weather Satellite C&DH ProgramMichael Donnelly and Michael Horn2013United StatesPapery2013united-statespaper
Weathering the Verification Storm: Methodology Enhancements used on a Next Generation Weather Satellite CDH ProgramMichael Horn2013United StatesPresentationy2013united-statespresentation
Web Template Mechanisms in SOC VerificationAlberto Allara, Via Tolomeo, Rinaldo Franco, and Via Remo2015EuropePapery2015europepaper
Web Template Mechanisms in SOC VerificationRinaldo Franco and Alberto Allara2015EuropePresentationy2015europepresentation
What Does The Sequence Say? Powering Productivity with PolymorphismRich Edelman2022United StatesPresentationy2022united-statespresentation
What Does The Sequence Say? Powering Productivity with PolymorphismRich Edelman2022United StatesPapery2022united-statespaper
What Ever Happened to AOP?James Strober, P.Eng, and Corey Goss2015United StatesPresentationy2015united-statespresentation
What Ever Happened to AOP?James Strober, P.Eng, and Corey Goss2015United StatesPapery2015united-statespaper
What I Wish My Regression Run Manager’s Vendor Knew!David Crutchfield, Brian Craw, Jason Lambirth2023United StatesPapery2023united-statespaper
What I Wish My Regression Run Manager’s Vendor Knew!Brian Craw, David Crutchfield, Jason Lambirth2023United StatesPresentationy2023united-statespresentation
What is needed on top of TLM-2 for bigger Systems?Jerome Cornet and Martin Schnieringer2015EuropePresentationy2015europepresentation
What is new in IP-XACT IEEE Std. 1685-2022?Erwin de Kock, Jean-Michel Fernandez, Devender Khari2022EuropePapery2022europepaper
What is new in IP-XACT Std. IEEE 1685-2022?Erwin de Kock, Jean-Michel Fernandez, Devender Khari2022EuropePresentationy2022europepresentation
What is new in IP-XACT Std. IEEE 1685-2022?Richard Weber, Edwin Dankert2023United StatesPresentationy2023united-statespresentation
What is next for SystemC Synthesizable Subset?Peter Frey2016EuropePapery2016europepaper
What Time Is It: Implementing a SystemVerilog Object-Oriented Wrapper for Interacting with the C Library timeEldon Nelson M.S. P.E.2018United StatesPapery2018united-statespaper
What Time Is It: Implementing a SystemVerilog Object-Oriented Wrapper for Interacting with the C Library timeEldon Nelson M.S. P.E.2018United StatesPostery2018united-statesposter
What Your Software Team Would Like the RTL Team to Know.Josh Rensch2020United StatesPresentationy2020united-statespresentation
What-If analysis of Safety Mechanism’s impacts on ETHMAC design under Functional Safety flowUdaykrishna J, Sujatha Hiremath, Kapil Kumar, Sachin Pathak, Gaurav Goel2022IndiaPapery2022indiapaper
What’s Next for SystemVerilog in the Upcoming IEEE 1800 standardDave Rich2023United StatesPresentationy2023united-statespresentation
What’s Next for SystemVerilog in the Upcoming IEEE 1800 standardDave Rich2023United StatesPapery2023united-statespaper
When Last Minute FV Strikes Gold: A Case Study on Finding Starvations & Deadlocks in a Project Nearing Tape-inAbhishek Potdar, Sachin Kumawat, Sudhanshu Srivastava, Anshul Jain2023IndiaPresentationy2023indiapresentation
When Last Minute FV Strikes Gold: A Case Study on Finding Starvations & Deadlocks in a Project Nearing Tape-inAbhishek Potdar, Sachin Kumawat, Sudhanshu Srivastava, Anshul Jain2023IndiaPapery2023indiapaper
Where OOP Falls Short of Hardware Verification NeedsMatan Vax2010United StatesPapery2010united-statespaper
Who takes the driver seat for ISO 26262 and DO 254 verification?Avidan Efody2015EuropePapery2015europepaper
Who takes the driver seat for ISO 26262 and DO 254 verification?Avidan Efody2015EuropePresentationy2015europepresentation
Who’s Watching the Watchmen? The Time has Come to Objectively Measure the Quality of Your VerificationDavid Brownell2013United StatesPresentationy2013united-statespresentation
Who’s Watching the Watchmen? The Time has Come to Objectively Measure the Quality of Your Verification EnvironmentDavid Brownell2013United StatesPapery2013united-statespaper
Whose Fault Is It Formally? Formal Techniques for Optimizing ISO 26262 Fault Analysis.Ping Yeung, Doug Smith, and Abdelouahab Ayari2018United StatesPapery2018united-statespaper
Whose Fault Is It Formally? Formal Techniques for Optimizing ISO 26262 Fault Analysis.Ping Yeung, Doug Smith, and Abdelouahab Ayari2018United StatesPresentationy2018united-statespresentation
Whose fault is it? Advanced techniques for optimizing ISO 26262 fault analysisAvidan Efody2016United StatesPresentationy2016united-statespresentation
Whose fault is it? Advanced techniques for optimizing ISO 26262 fault analysisAvidan Efody2016United StatesPapery2016united-statespaper
Why Not “Connect” Using UVM Connect: Mixed Language Communication Got Easier with UVMCVishal Baskar2022United StatesPostery2022united-statesposter
Why Not “Connect” Using UVM Connect: Mixed Language Communication Got Easier with UVMCVishal Baskar2022United StatesPapery2022united-statespaper
Wiretap your SoCAvidan Efody2014United StatesPapery2014united-statespaper
Wiretap your SoC: Why scattering Verification IPs throughout your design is a smart thing to doAvidan Efody2014United StatesPresentationy2014united-statespresentation
With Great Power Comes Great Responsibility A method to verify PMICs with UVM-MSDor Spigel and Moshik Hershcovitch2014United StatesPresentationy2014united-statespresentation
With great power comes great responsibility: A method to verify PMICs using UVM-MSDor Spigel and Moshik Hershcovitch2014United StatesPapery2014united-statespaper
Wrapping Verilog Bus Functional Model (BFM) and RTL as Drivers in Customized UVM VIP Using Abstract ClassesRoman Wang and Thomas Bodmer2015United StatesPostery2015united-statesposter
Wrapping Verilog Bus Functional Model (BFM) and RTL as Drivers in Customized UVM VIP Using Abstract ClassesRoman Wang and Thomas Bodmer2015United StatesPapery2015united-statespaper
Wrong clamps can kill your chip!!….find them earlyAkhil Arora, Rajiv Kumar, Sonik Sachdeva2023IndiaPresentationy2023indiapresentation
Wrong clamps can kill your chip!!….find them earlyAkhil Arora, Rajiv Kumar, Sonik Sachdeva2023IndiaPapery2023indiapaper
Wrong FPGA Low Power measures that people with ASIC design experience tend to fall into and the correct method paperJunichi Chonan2022JapanPapery2022japanpaper
Wrong FPGA Low Power measures that people with ASIC design experience tend to fall into and the correct method paperJunichi Chonan2022JapanPresentationy2022japanpresentation
X-Propagation Woes: Masking Bugs at RTL and Unnecessary Debug at the NetlistLisa Piper and Vishnu Vimjam2012United StatesPapery2012united-statespaper
X-propagation Woes: Masking Bugs at RTL and Unnecessary Debug at the NetlistLisa Piper2012United StatesPresentationy2012united-statespresentation
XploR, a Platform to Accelerate Silicon Transformation2023IndiaPresentationy2023indiapresentation
YAMM Yet Another Memory ManagerAndrei Vintila, Ionut Tolea, and Teodor Vasilache2017United StatesPresentationy2017united-statespresentation
YAMMYet Another Memory ManagerAndrei Vintila and Ionut Tolea2016EuropePresentationy2016europepresentation
Yet Another Memory Manager (YAMM)Ionut Tolea and Andrei Vintila2016EuropePapery2016europepaper
Yet Another Memory Manager (YAMM)Ionut Tolea, Andrei Vintila, and Cosmin-Teodor Vasilache2017United StatesPapery2017united-statespaper
Yikes! Why is My SystemVerilog Still So Slooooow?Cliff Cummings, John Rose, and Adam Sherer2019United StatesPapery2019united-statespaper
Yikes! Why is My SystemVerilog Testbench So Slooooow?Frank Kampf2012United StatesPapery2012united-statespaper
Yikes! Why is my SystemVerilog Testbench So Slooooow?Justin Sprague2012United StatesPresentationy2012united-statespresentation
You Are In a Maze of Twisty Little Sequences, All Alike – or Layering Sequences for Stimulus AbstractionRich Edelman, Adam Rose, Andreas Meyer, Raghu Ardeishar, and Jason Polychronopoulos2010United StatesPapery2010united-statespaper