How to Kill 4 Birds with 1 Stone: Using Formal Verification to Validate Legal Configurations, Find Design Bugs, and Improve Testbench and Software Specifications

Author(s):
Saurabh Shrivastava, Kavita Dangi, Darrow Chu, and Mukesh Sharma