DVCon: United States

Use the filters below to find your document.

TitleAuthor(s)YearTypeLinkhf:tax:event_yearhf:tax:document_type
“Bounded Proof” sign-off with formal coverageAbhishek Anand, Chinyu Chen, Bathri Narayanan Subramanian, Joe Hupcey2021Papery2021paper
“C” you on the faster side: Accelerating SV DPI based co-simulationParag Goel, Amit Sharma, and Hari Vinodh Balisetty2014Papery2014paper
“C” you on the faster side: Accelerating SV DPI based co-simulationHari Vinod Balisetty, Parag Goel, and Amit Sharma2014Presentationy2014presentation
“In-Emulator” UVM++ Randomized Testbenches for High Performance Functional VerificationAdnan Hamid and David Kelf2022Presentationy2022presentation
“Shift left” Hierarchical Low-Power Static Verification Using SAMBharani Ellore, Parag Mandrekar, Himanshu Bhatt, Susantha Wijesekara, and Bhaskar Pal2020Postery2020poster
“Shift left” Hierarchical Low-Power Static Verification Using SAMBharani Ellore, Parag Mandrekar, Himanshu Bhatt, Susantha Wijesekara, and Bhaskar Pal2020Papery2020paper
A 30 Minute Project Makeover Using Continuous IntegrationJL Gray2012Papery2012paper
A 30 Minute Project Makeover Using Continuous IntegrationJL Gray and Gordon McGregor2012Presentationy2012presentation
A 360 Degree View of UVM Events – A Case StudyDeepak Kumar E V, Sathish Dadi, and Vikas Billa2016Papery2016paper
A 360 Degree View of UVM Events (A Case Study)Deepak Kumar E V, Sathish Dadi, and Vikas Billa2016Presentationy2016presentation
A Client-Server Method for Register Design and DocumentationScott D Orangio and Julien Gagnon2016Papery2016paper
A Comparative Study of CHISEL and SystemVerilog Based on Logical Equivalent SweRV EL2 RISC V CoreJunaid Ahmed, Waleed Bin Ehsan, Laraib Khan, Asad Aleem, Agha Ali Zeb, Sarmad Paracha, Abdul Hameed Akram, Aashir Ahsan2022Presentationy2022presentation
A Comparative Study of CHISEL and SystemVerilog Based on Logical Equivalent SweRV EL2 RISCV CoreJunaid Ahmed, Waleed Bin Ehsan, Laraib Khan, Asad Aleem, Agha Ali Zeb, Sarmad Paracha, Abdul Hameed Akram, and Aashir Ahsan2022Papery2022paper
A Complete SystemC Process Instrumentation Interface and Its Application to Simulation Performance AnalysisBishnupriya Bhattacharya, Chandra Sekhar Katuri, and Vincent Motel2016Postery2016poster
A Complete SystemC Process Instrumentation Interface and Its Application to Simulation Performance Analysis.Bishnupriya Bhattacharya, Chandra Sekhar Katuri, and Vincent Motel2016Papery2016paper
A Coverage-Driven Formal Methodology for Verification Sign-offAng Li, Hao Chen, Jason K Yu, Ee Loon Teoh, and Iswerya Prem Anand2019Papery2019paper
A Coverage-Driven Formal Methodology for Verification Sign-offAng Li, Hao Chen, Jason K Yu, Ee Loon Teoh and, Iswerya Prem Anand2019Presentationy2019presentation
A Dyadic Transformation Based Methodology To Achieve Coverage Driven Verification GoalSwapnajit Mitra2017Presentationy2017presentation
A Dyadic Transformation Based Methodology to Achieve Coverage Driven Verification GoalSwapnajit Mitra2017Papery2017paper
A Dynamic Approach Towards Register Coverage Generation and Collection to Reduce Compilation Overhead of Traditional UVM Register LayersSubham Banerjee2020Presentationy2020presentation
A Formal Verification App Towards Efficient Chip-Wide Clock Gating VerificationProsenjit Chatterjee, Scott Fields, and Syed Suhaib2014Presentationy2014presentation
A Formal Verification App Towards Efficient, Chip-Wide Clock Gating VerificationProsenjit Chatterjee, Scott Fields, and Syed Suhaib2014Papery2014paper
A Guide To Using Continuous Integration Within The Verification EnvironmentJason Sprott2014Presentationy2014presentation
A Hardware and Software integrated power optimization approach with power aware simulations at SOCEldin Ben Jacob, Harshal Kothari, Sriram Kazhiyur Soundarrajan, Somasunder Kattepura Sreenath2023Papery2023paper
A Hardware and Software Integrated Power Optimization Approach with Power Aware Simulations at SOCEldin Ben Jacob, Harshal Kothari, Sriram Kazhiyur Soundarrajan, Somasunder Kattepura Sreenath2023Postery2023poster
A Holistic Approach to Low-Power, Mixed-Signal Design Verification Using Power IntentVijay Kumar Sankaran, Lakshmanan Balasubramanian, Bharath Kumar Poluri, Venkatraman Ramakrishnan, Badrinarayan Zanwar, and Qingyu Lin2016Papery2016paper
A Holistic Approach to Low-Power, Mixed-Signal Design Verification Using Power IntentQingyu Lin2016Postery2016poster
A Holistic View of Mixed-Language IP IntegrationPankaj Singh and Gaurav Kumar Verma2010Papery2010paper
A Hybrid Verification Solution to RISC V Vector ExtensionChenghuan Li, Yanhua Feng, Liam Li2022Presentationy2022presentation
A Hybrid Verification Solution to RISC-V Vector ExtensionChenghuan Li, Yanhua Feng, and Liam Li2022Papery2022paper
A Low Maintenance Infrastructure to Jumpstart CPU Regression and Performance CorrelationThomas Soong, Christopher Browne, and Chenhui Huang2022Postery2022poster
A Low Maintenance Infrastructure to Jumpstart CPU Regression and Performance CorrelationThomas Soong, Chenhui Huang, and Christopher Browne2022Papery2022paper
A Methodology for Power and Energy Efficient Systems DesignMohammed Fahad2023Presentationy2023presentation
A Methodology to Port a Complex Multi-Language Design and Testbench for Simulation AccelerationHorace Chan, Brian Vandegriend, and Efrat Shneydor2015Papery2015paper
A Methodology to Port a Complex Multi-Language Design and Testbench for Simulation AccelerationHorace Chan, Brian Vandegriend, and Efrat Shneydor2015Presentationy2015presentation
A New Approach for Generating View GeneratorsJohannes Schreiner, Felix Willgerodt, and Wolfgang Ecker2017Papery2017paper
A New Approach for Generating View GeneratorsJohannes Schreiner, Felix Willgerodt, and Wolfgang Ecker2017Postery2017poster
A New Approach to Easily Resolve the Hidden Timing Dangers of False Path Constraints on Clock Domain CrossingsOmri Dassa, Yossi Mirsky2022Presentationy2022presentation
A New Approach to Easily Resolve the Hidden Timing Dangers of False Path Constraints on Clock Domain CrossingsOmri Dassa and Yossi (Joseph) Mirsky2022Papery2022paper
A New Class Of RegistersM. Peryer and D. Aerne2016Papery2016paper
A New Class Of RegistersMark Peryer and David Aerne2016Postery2016poster
A novel approach to create multiple domain based DV architecture to address typical Verification challenges, for the DUT with mutual exclusive functionalities, using UVM DomainsSubham Banerjee and Keshava Krishna Raja2017Papery2017paper
A novel approach to create multiple domain based DV architecture to address typical Verification challenges, for the DUT with mutual exclusive functionalities, using UVM Domains 2017Postery2017poster
A Novel Approach to Verify CNN Based Image Processing UnitSumit K. Kulshreshtha, Raghavendra J N2021Papery2021paper
A Novel Variation-Aware Mixed-Signal Verification Methodology to achieve High-Sigma Variation coverage at nanometer designsTibi Galambos, Sumit Vishwakarma2021Papery2021paper
A Practical Approach to Measuring and Improving the Functional Verification of Embedded SoftwareStéphane Bouvier, Nicolas Sauzède, Florian Letombe, and Julien Torrès2012Papery2012paper
A Practical Approach to Measuring and Improving the Functional Verification of Embedded SoftwareStéphane Bouvier, Nicolas Sauzède, Florian Letombe, and Julien Torrès2012Presentationy2012presentation
A Practical Look @ SystemVerilog Coverage – Tips, Tricks, and GotchasDoug Smith and John Aynsley2011Papery2011paper
A Reusable, Scalable Formal App for Verifying Any Configuration of 3D IC ConnectivityDaniel Han, Walter Sze, Benjamin Ting, and Darrow Chu2013Papery2013paper
A Semi-Formal Verification Methodology for Efficient Configuration Coverage of Highly Configurable Digital DesignsAman Kumar, Sebastian Simon2021Papery2021paper
A Simplified Approach Using UVM Sequence Items for Layering Protocol VerificationHaiqian Yu and Christine Thomson2017Papery2017paper
A Simplified Approach Using UVM Sequence Items for Layering Protocol VerificationChristine Thomson and Haiqian Yu2017Presentationy2017presentation
A Simulation Expert’s Guide to Formally Proving SW Status and InterruptsNeil Johnson2023Presentationy2023presentation
A Simulation Expert’s Guide to Formally Verifying Software Status and InterruptsNeil Johnson2023Papery2023paper
A single generated UVM Register Model to handle multiple DUT configurationsSalvatore Marco Rosselli and Giuseppe Falconeri2020Presentationy2020presentation
A SMART GENERATION OF DESIGN ATTRIBUTES FOR VERIFICATION CLOSURE USING SPECMANMeirav Nitzan, Yael Kinderman, and Efrat Gavish2013Postery2013poster
A Smart Synchronizer – Pragmatic way to cross asynchronous clock domainsPriyank Parakh and Steven J Kommrusch2011Papery2011paper
A Specification-Driven Methodology for the Design and Verification of Reset Domain Crossing LogicPriya Viswanathan, Kurt Takara, Chris Kwok, and Islam Ahmed2018Papery2018paper
A Specification-Driven Methodology for the Design and Verification of Reset Domain Crossing LogicPriya Viswanathan, Kurt Takara, Chris Kwok, and Islam Ahmed2018Postery2018poster
A Study on Virtual Prototyping based Design Verification MethodologyWoojoo Kim, Kunhyuk Kang, and Seonil Brian Choi.2023Papery2023paper
A Study on Virtual Prototyping based Design Verification MethodologyWoojoo Kim, Kunhyuk Kang, Seonil Brian Choi2023Postery2023poster
A Survey of Machine Learning Applications in Functional VerificationDan Yu, Harry Foster, Tom Fitzpatrick2023Papery2023paper
A Systematic Approach to Power State Table (PST) DebuggingBhaskar Pal, Suman Nandan, Kaushik De, and Rajarshi Mukherjee2013Papery2013paper
A Systematic Approach to Power State Table (PST) DebuggingBhaskar Pal2013Presentationy2013presentation
A Systematic Formal Reuse Methodology: From Blocks to SoC SystemsHao Chen, Yi Sun, Ang Li, and Dorry Cao2020Presentationy2020presentation
A Systematic Take on Addressing Dynamic CDC Verification ChallengesSukriti Bisht, Sulabh Kumar Khare, and Ashish Hari2019Presentationy2019presentation
A Systematic Take on Addressing Dynamic CDC Verification ChallengesSukriti Bisht, Sulabh Kumar Khare, and Ashish Hari2019Papery2019paper
A SystemC Library for Advanced TLM VerificationMarcio F. S. Oliveira, Christoph Kuznik, Wolfgang Mueller, Wolfgang Ecker, and Volkan Esen2012Papery2012paper
A SystemC Library for Advanced Verification – Towards an Enhanced OVM/UVM for SystemCMarcio F. S. Oliveira, Christoph Kuznik, Wolfgang Mueller, Wolfgang Ecker, and Volkan Esen2012Presentationy2012presentation
A SystemVerilog Framework for Easy Method Advice in Object-oriented Test BenchesEric Ohana2013Postery2013poster
A SystemVerilog Framework for Easy Method Advice in Object-oriented Test BenchesEric Ohana2013Papery2013paper
A SystemVerilog Framework for Efficient Randomization of Images with Complex Inter-Pixel DependenciesAxel Voss, Gabriel Jönsson, and Lars Viklund2020Presentationy2020presentation
A Tale of Two Languages – SystemVerilog and SystemCDavid C Black2013Papery2013paper
A Tale of Two Languages: SystemVerilog & SystemCDavid C Black2013Presentationy2013presentation
A Unified Testbench Architecture Solution for Verifying Variants of A PLL IPDeepa Ananthanarayanan and Malathi Chikkanna2015Presentationy2015presentation
A Unified Testbench Architecture Solution for Verifying Variants of the PLL IPDeepa Ananthanarayanan and Malathi Chikkanna2015Papery2015paper
A Universal DFT Verification Environment: Filling the Gap between Function Simulation and ATE TestRui Huang2016Presentationy2016presentation
A Universal DFT Verification Environment: Filling the Gap between Function Simulation and ATE TestRui Huang2016Papery2016paper
A UVM Reactive Testbench for Jitter Tolerance Measurement of High-Speed Wireline ReceiversJaeha Kim2023Postery2023poster
A UVM Reactive Testbench for Jitter Tolerance Measurement of High-Speed Wireline ReceiversJaeha Kim2023Presentationy2023presentation
A UVM SystemVerilog Testbench for Analog/Mixed-Signal Verification: A Digitally-Programmable Analog Filter ExampleCharles Dančak2022Papery2022paper
A UVM Testbench for Analog Verification: A Programmable Filter ExampleCharles Dančak2022Presentationy2022presentation
A UVM-based Approach for Rapidly Verifying Digital Interrupt StructuresChristoph Rumpler, Alexander W. Rath, Sebastian Simon, and Heinz Endres2016Papery2016paper
A UVM-based Approach for Rapidly Verifying Digital Interrupt StructuresChristoph Rumpler, Alexander W. Rath, and Sebastian Simon2016Presentationy2016presentation
A Volterra-Series Model in SystemVerilog/XMODEL for Nonlinear RF Low-Noise AmplifiersChan Young Park, Jaeha Kim2021Papery2021paper
A Wholistic Approach to Optimizing Your System Verification FlowRoss Dickson, Lance Tamura, Michael Young2023Presentationy2023presentation
Abstract Layer for Firmware Access: A Unique Approach for SOC Functional VerificationGupta Girish Kumar, Rugmini Navia Vishnu, Choudhary Praval, Syed Mobeenuddin2021Papery2021paper
Accelerate Coverage Closure from Day-1 with AI-driven VerificationMalay Ganai, Will Chen, Srikanth Vadanaparthi2023Presentationy2023presentation
Accelerated simulation through design partition and HDL to C++ compilationTheta Yang and Sga Sun2017Papery2017paper
Accelerated simulation through design partition and HDL to C++ compilationTheta Yang2017Presentationy2017presentation
Accelerated Verification of NAND Flash Memory using HW EmulatorSeyeol Yang, Byungwoo Kang, Seoyeon Bae, Choi Jaehyeon, Jintae Kim, Dongeun Lee, Junho Ahn2023Papery2023paper
Accelerated Verification of NAND Flash Memory using HW EmulatorSeyeol Yang, Byungwoo Kang, Seoyeon Bae, Choi Jaehyeon, Jintae Kim, Dongeun Lee, Junho Ahn2023Postery2023poster
Accelerated, High Quality SoC Memory Map Verification using Formal TechniquesCletan Sequeira, Rajesh Kedia, Lokesh Babu Pundreeka, and Bijitendra Mittra2014Papery2014paper
Accelerated, High Quality SoC Memory Map Verification using Formal TechniquesCletan Sequeira, Rajesh Kedia, Lokesh Babu Pundreeka, and Bijitendra Mittra2014Presentationy2014presentation
Accelerating CDC Verification Closure on Gate-Level DesignsAnwesha Choudhury and Ashish Hari2017Presentationy2017presentation
Accelerating CDC Verification Closure on Gate-Level DesignsAnwesha Choudhury and Ashish Hari2017Papery2017paper
Accelerating Error Handling Verification Of Complex Systems: A Formal ApproachBhushan Parikh, Peter Graniello, Neha Rajendra2022Presentationy2022presentation
Accelerating Error Handling Verification of Complex Systems: A Formal ApproachBhushan Parikh, Peter Graniello, and Neha Rajendra2022Papery2022paper
Accelerating Functional Verification Through Stabilization of Testbench Using AI/MLSrikanth Vadanaparthi, Pooja Ganesh, Dharmesh Mahay, Malay Ganai2023Papery2023paper
Accelerating Functional Verification Through Stabilization of Testbench Using AI/MLSrikanth Vadanaparthi, Pooja Ganesh, Dharmesh Mahay, Malay Ganai2023Postery2023poster
Accelerating Performance, Power and Functional Validation of Computer Vision Use Cases on Next Generation Edge Inferencing ProductsYoga Priya Vadivelu, Arpan Shah, Deepinder Singh Mohoora, Ullas, and Praveen Buddireddy2022Postery2022poster
Accelerating Performance, Power and Functional Validation of Computer Vision Use Cases on Next Generation Edge Inferencing ProductsYoga Priya Vadivelu, Arpan Shah, Deepinder Singh Mohoora, Ullas, and Praveen Buddireddy2022Papery2022paper
Accelerating SoC Verification Signoff using Save & Restart/Dynamic Test Reload Enhanced Regression flowVanshlata B, Divya M, Garima S, Seonil Brian Choi2021Papery2021paper
Accelerating SOC Verification Using Process Automation and IntegrationSeonghee Yim, Hanna Jang, Sunchang Choi, and Seonil Brian Choi2020Papery2020paper
Accelerating the IP Design Cycle with Formal Techniques Beyond Everyday FPVBhushan Parikh, Shaman Narayana, Buck Lem, David Cassetti2021Papery2021paper
Acceleration of Coreless SoC Design-Verification using PSS on Configurable Testbench in Multi-Link PCIe SubsystemsThanu Ganapathy, Pravin Kumar, Garima Srivastava, Seonil Brian Choi, Harish Peta2021Papery2021paper
Accellera UVM-AMS Standard UpdateTom Fitzpatrick and Tim Pylant2022Presentationy2022presentation
Ace’ing the Verification of SOCs with Cache Coherent Heterogeneous Multiprocessors Targeted for Optimized Power ConsumptionMehul Kumar, Tushar Mattu, and Amir Nilipour2016Papery2016paper
ACE’ing the Verification of a Coherent System Using UVMRomondy Luo, Ray Varghese, Parag Goel, Amit Sharma, Satyapriya Acharya, and Peer Mohammed2012Papery2012paper
ACE’ing the Verification of a Coherent System Using UVMParag Goel; Amit Sharma, Ray Varghese, Romondy Luo, Satyapriya Acharya, Peer Mohammed2012Presentationy2012presentation
ACE’ing the Verification of SOCs with Cache Coherent Heterogeneous Multiprocessors Targeted for Optimized Power ConsumptionMehul Kumar, Shawn Honess, Amir Nilipour, and Tushar Mattu2016Postery2016poster
Achieving First-Time Success with a UPF-based Low Power Verification FlowKjeld Svendsen, Chuck Seeley, and Erich Marschner2011Papery2011paper
Activity Trend Guided Efficient Approach to Peak Power Estimation Using EmulationGaurav Saharawat, Saurabh Jain, and Madhur Bhatia2016Presentationy2016presentation
Activity Trend Guided Efficient Approach to Peak Power Estimation Using EmulationGaurav Saharawat, Saurabh Jain, and Madhur Bhatia2016Papery2016paper
Adapting the UVM Register Abstraction Layer for Burst AccessMark Villalpando2016Presentationy2016presentation
Adapting the UVM Register Layer for Burst AccessM. P. Villalpando2016Papery2016paper
Adaptive Test Generation for Fast Functional Coverage ClosureAzade Nazi, Qijing Huang, Hamid Shojaei, Hodjat Asghari Esfeden, Azalia Mirhoseini, Richard Ho2022Presentationy2022presentation
Adaptive Test Generation for Fast Functional Coverage ClosureAzade Naziz, Qijing Huang, Hamid Shojaei, Hodjat Asghari Esfeden, Azalia Mirhosseiniz, and Richard Ho2022Papery2022paper
Addressing Challenges in Verification of DSP based Audio IPs using a Novel Hybrid-TestBench ArchitectureSuvadeep Bose, Kanak Singh Rajput, Parag S Lonkar, Somasunder K Sreenath2021Papery2021paper
Addressing HW/SW Interface Quality through StandardsDavid Murray and Sean Boyan2012Papery2012paper
Addressing the Challenges of Generically Specifying Power Intent with Multi-Rail MacrosBrandon S. Skaggs2020Papery2020paper
Addressing the Challenges of Generically Specifying Power Intent with Multi-Rail MacrosBrandon Skaggs2020Postery2020poster
Addressing the Challenges of Reset Verification in SoC DesignsChris Kwok, Priya Viswanathan, and Ping Yeung2015Papery2015paper
Addressing the Challenges of Reset Verification in SoC DesignsChris Kwok, Priya Viswanathan, and Ping Yeung2015Presentationy2015presentation
Addressing the verification challenge of SERDES-based FPGAs: The performance/accuracy/efficiency trade-offChris Schalick2011Papery2011paper
Adopting Accellera’s Portable Stimulus Standard: Early Development and Validation using Virtual PrototypingSimranjit Singh, Ashwani Aggarwal, Harshita Prabha, Vishnu Ramadas, Seonil Brian Choi, Woojoo Space Kim2021Papery2021paper
Advanced Digital-Centric Mixed-Signal MethodologyMichael Kontz, David Lacey, and Peter Maroni2015Papery2015paper
Advanced Digital-Centric Mixed-Signal MethodologyMichael Kontz, David Lacey, and Peter Maroni2015Presentationy2015presentation
Advanced Functional Verification for Automotive System on a ChipJaein Hong, Jieun Jeong , Namyoung Kim, Hongkyu Kim, Sungcheol Park,2022Presentationy2022presentation
Advanced Functional Verification for Automotive System on a ChipJaein Hong, Jieun Jeong, Namyoung Kim, Hongkyu Kim, and Sungcheol Park2022Papery2022paper
Advanced Functional Verification Methodology Using UVM For Complex DSP Algorithms In Mixed Signal RF SoCsSrinivas Aluri and Jaimin Mehta2014Presentationy2014presentation
Advanced Functional Verification Methodology Using UVM for complex DSP Algorithms in Mixed Signal RF SoCsSrinivas Aluri and Jaimin Mehta2014Papery2014paper
Advanced SOC Randomization Tool for Complex SOC Level VerificationMarvin Mei, Chris Weller, Michael Sedmak, and Zhiqiang Ren2020Papery2020paper
Advanced Techniques for ARM L2 Cache Verification in an Accelerated Hardware and Software environmentRob Pelt and Jay O’Donnell2012Papery2012paper
Advanced Techniques for AXI Fabric Verification in a Software/Hardware OVM EnvironmentGalen Blake and Steve Chappell2012Papery2012paper
Advanced Testbench Configuration with ResourcesMark Glasser2011Papery2011paper
Advanced Usage Models for Continuous Integration in Verification EnvironmentsJohn Dickol2015Papery2015paper
Advanced Usage Models for Continuous Integration in Verification EnvironmentsJohn Dickol2015Presentationy2015presentation
Advanced UVM Command Line ProcessorSiddharth Krishna Kumar2022Presentationy2022presentation
Advanced UVM Command Line Processor for Central Maintenance and Randomization of Control KnobsSiddharth Krishna Kumar2022Papery2022paper
Advanced UVM Register ModelingMark Litterick and Marcus Harnisch2014Papery2014paper
Advanced UVM Register ModelingMark Litterick2014Presentationy2014presentation
Advanced UVM, Multi-Interface, Reactive Stimulus TechniquesClifford E. Cummings, Stephen DOnofrio, Jeff Wilcox, Heath Chambers2021Papery2021paper
Advances in RF Transceiver SoC Verification: A Walk-Through over a 2.4 GHz Multi-Modal Integrated Transceiver Verification CycleCharul Agrawal, Ashwin Vijayan, and Jakub Dudek2017Papery2017paper
Advances in RF Transceiver SoC Verification: A Walk-Through over a 2.4 GHz Multi-Modal Integrated Transceiver Verification CycleCharul Agrawal, Ashwin Vijayan, and Jakub Dudek2017Presentationy2017presentation
Advancing system-level verification using UVM in SystemCMartin Barnasconi, François Pêcheux, and Thilo Vörtler2014Papery2014paper
Advancing system-level verification using UVM in SystemCMartin Barnasconi, François Pêcheux, and Thilo Vörtler2014Presentationy2014presentation
Advantages of using UVM/System Verilog IEEE standards to Verify Complex Probabilistic Constellation Shaping Design for a Coherent DSP ASIC.Nipun Bhatt2020Papery2020paper
Advantages of using UVM/System Verilog IEEE standards to Verify Complex Probabilistic Constellation Shaping Design for a Coherent DSP ASIC.Nipun Bhatt2020Postery2020poster
An Analytical View of Test Results Using CityScapesMarkus Borg, Andreas Brytting, and Daniel Hansson2018Papery2018paper
An Analytical View of Test Results Using CityScapesMarkus Borg, Andreas Brytting, and Daniel Hansson2018Postery2018poster
An Approach for Faster Compilation of Complex Verification Environment: The USB3.0 ExperienceMahesha Shankarathota, Vybhava S, and Indrajit Dutta2013Postery2013poster
An Approach for Faster Compilation of Complex Verification Environment: The USB3.0 ExperienceMahesha Shankarathota, Vybhava S, and Indrajit Dutta2013Papery2013paper
An Assertion Based Approach to Implement VHDL Functional CoverageSusan Eickhoff, Michael DeBole, and Tagbo Ekwueme-Okoli2014Papery2014paper
An Assertion Based Approach to Implement VHDL Functional CoverageMichael Wazlowski, Susan Eickhoff, Michael Debole, and Tagbo Ekwueme-Okoli2014Presentationy2014presentation
An Automated Validation Framework for Power Management and Data Retention Logic Kits of Standard Cell LibraryAkshay Kamath, Bharath Kumar, Sunil Aggarwal, Subramanian Parameswaran, Parag Lonkar, Debi Prasanna, Somasunder Sreenath2021Papery2021paper
An Automatic Visual System Performance Stress Test for TLM DesignsGeorge F. Frazier, Neeti Bhatnagar, and Woody Larue2011Papery2011paper
An Easy VE/DUV Integration ApproachUwe Simm2015Presentationy2015presentation
An efficient analog fault-injection flow harnessing the power of abstractionRenaud Gillon, Enrico Fraccaroliy, and Franco Fummi2019Postery2019poster
An Efficient and Modular Approach for Formally Verifying Cache ImplementationsM, Achutha KiranKumar V and Abhijith A Bharadwaj2018Papery2018paper
An Efficient and Modular Approach for Formally Verifying Cache implementationsM Achutha KiranKumar V, Abhijith A Bharadwaj, and Bindumadhava S S2018Presentationy2018presentation
An Efficient Method to Verify Dynamic IP Clock Frequency on SOC Level by Using Auto-generated Active Clock MonitorJaecheon Kim, Gyuhong Lee, Yeonho Jeong, Hyunsun Ahn, Daewoo Kim, Seonil Brian Choi2021Papery2021paper
An Enhanced DV Approach for Effectively Verifying High Speed, Low Power MIPI-MPHY 5.0 DesignsEldhose PM, Kuntal Pandya, Sagar Jayakrishanan, Suraj Vijay Shetty, Parag S Lonkar2023Postery2023poster
An Enhanced DV Approach for Effectively Verifying High Speed, Low Power MIPI-MPHY5.0 DesignsEldhose P.M, Sagar Jayakrishnan, Suraj Vijay Shetty, Kuntal Pandya, Parag S. Lonkar2023Papery2023paper
An Enhanced Stimulus and Checking Mechanism on Cache VerificationChenghuan Li, Xiaohui Zhao, and Yunyang Song2019Postery2019poster
An Equivalent Modeling Approach for High-Density DRAM Array System-Level Design-Space Exploration in SystemVerilogSeyoung Kim, Jaeha Kim2021Papery2021paper
An Experience of Complex Design Validation: How to Make Semiformal Verification WorkSabih Agbaria, Dan Carmi, Orly Cohen, Dmitry Korchemny, Michael Lifshits, and Alexander Nadel2010Papery2010paper
An experience to finish code refinement earlier at behavioral levelDae-Han Youn, Sik Kim, Byeong Min, and Kyu-Myung Choi2011Papery2011paper
An Expert System Based Tool for Pre-design Chip Power EstimationBhanu Singh, Arunprasath Shankar, Francis Wolff, and Christos Papachristou2014Presentationy2014presentation
An Expert System Based Tool for Pre-design Chip Power EstimationBhanu Singh, Arunprasath Shankar, Francis Wolff, and Christos Papachristou2014Papery2014paper
An Innovative Methodology for RTL and Verification IP Sharing Between Two ProjectsAlbert Xu and Joonyoung Kim2013Papery2013paper
An Innovative Methodology for RTL and Verification IP Sharing Between Two ProjectsAlbert Xu and Joonyoung Kim2013Postery2013poster
An Innovative Methodology for Verifying Mixed-Signal ComponentsFabian Delguste and Graeme Nunn2011Papery2011paper
An Integrated Framework for Power Aware VerificationHarsh Chilwal, Manish Jain, and Bhaskar Pal2012Papery2012paper
An Overview of Security Annotation for Electronic Design Integration (SA-EDI) StandardSohrab Aftabjahani2022Presentationy2022presentation
Analog Transaction Level Modeling for Verification of Mixed-Signal-BlocksAlexander W. Rath, Volkan Esen, and Wolfgang Ecker2012Papery2012paper
Analog Transaction Level Modeling for Verification of Mixed-Signal-BlocksAlexander W. Rath2012Presentationy2012presentation
Analysis of TLM-2.0 and it’s Applicability to Non Memory Mapped InterfacesGuillaume Delbergue, Mark Burton, and Bertrand Le Gal and Christophe Jego2016Papery2016paper
Analysis of TLM-2.0 and it’s Applicability to Non Memory Mapped InterfacesDelbergue Guillaume2016Presentationy2016presentation
Apples versus Apples HVL Comparison Finally Arrives Comparing OVM SystemVerilog to OVM eBrett Lammers and Riccardo Oddone2010Papery2010paper
Application Abstraction Layer: The Carpool Lane on the SoC Verification FreewayAbhisek Verma, Varun S, and Subramanian Kuppusamy2015Papery2015paper
Application Abstraction Layer: The Carpool Lane on the SoC Verification FreewayAbhisek Verma, Varun S, and Subramanian Kuppusamy2015Presentationy2015presentation
Application of SystemC/SystemC-AMS in 3G Virtual PrototypingTao Huang and Stefan Heinen2011Papery2011paper
Application Optimized HW/SW Design & Verification of a Machine Learning SoCLauro Rizzatti, Russell Klein, Stephen Bailey, and Andrew Meier2020Presentationy2020presentation
Applying High-Level Synthesis for Synthesizing Hardware Runtime STL Monitors of Mission-Critical PropertiesK. Selyunin, T. Nguyen, A.D. Basa, E. Bartocci, D. Nickovic, and R. Grosu2016Papery2016paper
Applying High-Level Synthesis for Synthesizing Hardware Runtime STL Monitors of Mission-Critical PropertiesKonstantin Selyunin, Thang Nguyen, Andrei-Daniel Basa, Ezio Bartocci, Dejan Nickovic, and Radu Grosu2016Presentationy2016presentation
Applying Test-Driven Development Methods to Design Verification SoftwareDoug Gibson and Mike Kontz2014Presentationy2014presentation
Applying Test-Driven Development Methods to Design Verification Software in UVM-eDoug Gibson and Mike Kontz2014Papery2014paper
Applying Transaction-level Debug and Analysis Techniques to DUT Simulated Activity Using Data- Mining TechniquesLeo Chai, Bindesh Patel, and Jun Zhao2014Papery2014paper
Applying Transaction-level Debug and Analysis Techniques to DUT Simulated Activity Using Data-Mining TechniquesLeo Chai, Jun Zhao, and Bindesh Patel2014Postery2014poster
Architecting “Checker IP” for AMBA protocolsSrinivasan Venkataramanan and Ajeetha Kumari2017Papery2017paper
Architecting “Checker IP” for AMBA protocolsAjeetha Kumari and Srinivasan Venkataramanan2017Presentationy2017presentation
Architectural Evaluation of a Programmable Accelerator for Baseband, Phy and Video Applications using High Level SynthesisAndy Fox, Tigran Sargsyan, and Steven Anderson2014Papery2014paper
Architectural Evaluation Of a Programmable Accelerator For Baseband, Phy and Video Applications Using High Level SynthesisAndy Fox, Tigran Sargsyan, and Steven Anderson2014Postery2014poster
Architectural Formal Verification of System-Level DeadlocksMandar Munishwar1, Naveed Zaman, Anshul Jain, HarGovind Singh, and Vigyan Singhal2018Papery2018paper
Architectural Formal Verification of System-Level DeadlocksMandar Munishwar and Vigyan Singhal2018Presentationy2018presentation
Are OVM & UVM Macros Evil? A Cost-Benefit AnalysisAdam Erickson2011Papery2011paper
Are you really confident that you are getting the very best from your verification resources?Darron May and Fritz Ferstl2014Postery2014poster
Are you really confident that you are getting the very best from your verification resources?Darron May and Fritz Ferstl2014Papery2014paper
Are You Safe Yet? Safety Mechanism Insertion and ValidationPing Yeung, Jin Hou, Vinayak Desai, and Jacob Wiltgen2020Papery2020paper
Are You Safe Yet? Safety Mechanism Insertion and ValidationPing Yeung, Jin Hou, Vinayak Desai, and Jacob Wiltgen2020Postery2020poster
Are You Smarter Than Your Testbench? With a little work you can be.Rich Edelman and Raghu Ardeishar2015Papery2015paper
Are You Smarter Than Your Testbench? With a little work you could beRich Edelman and Raghu Ardeishar2015Postery2015poster
ASIC-Strength Verification in a Fast-Moving FPGA WorldBryan Murdock2013Papery2013paper
ASIC-Strength Verification in a Fast-Moving FPGA WorldBryan Murdock2013Postery2013poster
Assertion Based Self-checking of Analog Circuits for Circuit Verification and Model Validation in SPICE and Co-simulation EnvironmentsLakshmanan Balasubramanian, Pooja Sundar, and Timothy W Fischer2011Papery2011paper
Assertion-based Verification for Analog and Mixed Signal DesignsSrinivas Aluri2017Papery2017paper
Assertion-based Verification for Analog andMixed Signal DesignsSrinivas Aluri2017Postery2017poster
Asynchronous Behaviors Meet Their Match with SystemVerilog AssertionsDoug Smith2010Papery2010paper
Autocuration: An Implementation of a Continuous Integration System Employed in the Development of AMD’s Next-generation Microprocessor CoreWei Foong Thoo and David A. Burgoon2012Presentationy2012presentation
Autocuration: An Implementation of a Continuous Integration System Employed in the Development of AMD’s Next-generation Microprocessor CoreWei Foong Thoo and David A. Burgoon2012Papery2012paper
Automated approach to Register Design and Verification of complex SOCBallori Banerjee, Subashini Rajan, and Silpa Naidu2011Papery2011paper
Automated Comparison of Analog Behavior in a UVM EnvironmentSebastian Simon2014Presentationy2014presentation
Automated Comparison of Analog Behavior in a UVM EnvironmentSebastian Simon, Alexander W. Rath, Volkan Esen, and Wolfgang Ecker2014Papery2014paper
Automated Connectivity Test Creation for System-in-Package Analog Mixed-Signal VerificationSamantha Pandez, Christopher Geen2023Papery2023paper
Automated Connectivity Test Creation for System-in-Package Analog Mixed-Signal VerificationSamantha Pandez Christopher Geen2023Postery2023poster
Automated Generation of RAL-based UVM SequencesVijayakrishnan Rousseau, Satyajit Sinari, Benjamin Applequist, Timothy McLean, and Geddy Lallathin2020Papery2020paper
Automated Generation of RAL-based UVM SequencesSatyajit Sinari, Timothy McLean, Benjamin Applequist, Vijayakrishnan Rousseau, and Geddy Lallathin2020Presentationy2020presentation
Automated Modeling Testbench Methodology Tested with four Types of PLL ModelsAutomated Modeling Testbench Methodology Tested with four Types of PLL Models2023Papery2023paper
Automated Modeling Testbench Methodology Tested with four Types of PLL ModelsJun Yan, Josh Baylor2023Presentationy2023presentation
Automated Performance Verification to Maximize your ARMv8 pulling powerNick Heaton and Simon Rance2015Papery2015paper
Automated Performance Verification to Maximize your ARMv8 pulling powerNick Heaton and Simon Rance2015Presentationy2015presentation
Automated Physical Hierarchy Generation: Tools and MethodologyAli El-Zein, Alvan Ng, Benedikt Geukes, Maya H. Safieddine, and Wolfgang Roesner2018Presentationy2018presentation
Automated Physical Hierarchy Generation: Tools and MethodologyAli El-Zein, Alvan Ng, Benedikt Geukes, Maya H. Safieddine, and Wolfgang Roesner2018Papery2018paper
Automated RTL Update for Abutted DesignWonkyung Lee, Ayoung Kwon, Soyeong Kwon, Youngsik Kim, and Seonil Brian Choi2020Papery2020paper
Automated RTL Update for Abutted DesignWonkyung Lee, Ayoung Kwon, Soyeong Kwon, Youngsik Kim, and Seonil Brian Choi2020Postery2020poster
Automated Safety Verification for Automotive MicrocontrollersH. Busch2016Papery2016paper
Automated Safety Verification for Automotive MicrocontrollersHolger Busch2016Presentationy2016presentation
Automated Seed Selection Algorithm for an Arbitrary Test SuiteDavid Crutchfield, Brian Craw, Jim Sharpe, and Brandon Skaggs2018Papery2018paper
Automated Seed Selection Algorithm for an Arbitrary Test SuiteDavid Crutchfield, Brian Craw, Jim Sharpe, and Brandon Skaggs2018Presentationy2018presentation
Automated Specification Driven Verification by Generation of SystemVerilog AssertionsFerdinando Pace2016Papery2016paper
Automated Specification Driven Verification by Generation of SystemVerilog AssertionsFerdinando Pace2016Postery2016poster
Automated Test Generation to Verify IP Modified for System Level Power ManagementChristophe Lamard2015Papery2015paper
Automated Test Generation to Verify IP Modified for System Level Power ManagementChristophe Lamard and Frederic Dupuis2015Presentationy2015presentation
Automated Thread Evaluation of Various RISC-V Alternatives using Random Instruction GenerationEndri Kaja, Nicolas Gerlin, Dominik Stoffel, Wolfgang Kunz, Wolfgang Ecker2023Presentationy2023presentation
Automated Thread Evaluation of Various RISC-V Alternatives using Random Instruction GeneratorsEndri Kaja, Nicolas Gerlin, Dominik Stoffel, Wolfgang Kunz, Wolfgang Ecker2023Papery2023paper
Automated traceability of requirements in the design and verification process of safety-critical mixed-signal systemsGabriel Pachiana, Maximilian Grunwald, Thomas Markwirth, Christoph Sohrmann2021Papery2021paper
Automatic Debug Down to the LineDaniel Hansson and Patrik Granath2017Postery2017poster
Automatic Debug Down to the Line of CodeDaniel Hansson and Patrik Granath2017Papery2017paper
Automatic Exploration of Hardware/Software PartitioningSyed Abbas Ali Shah, Sven Alexander Horsinka, Basitan Farkas, Rolf Meyer, and Malden Berekovic2017Papery2017paper
Automatic Exploration of Hardware/Software PartitioningSyed Abbas Ali Shah, Sven Alexander Horsinka, Basitan Farkas, Rolf Meyer, and Malden Berekovic2017Presentationy2017presentation
Automatic Generation of Formal Properties for Logic Related to Clock GatingShuqing Zhao and Shan Yan2015Presentationy2015presentation
Automatic Generation of Formal Properties for Logic Related to Clock GatingShuqing Zhao and Shan Yan2015Papery2015paper
Automatic Investigation of Power InefficienciesKuo Kai Hsieh, Li C. Wang, Wen Chen, Monica Farkash, and Jayanta Bhadra2017Presentationy2017presentation
Automatic Investigation of Power InefficiencyKuo-Kai Hsieh, Wen Chen, Monica Farkash, Jayanta Bhadra, and Li-C. Wang2017Papery2017paper
Automatic Partitioning for Multi-core HDL SimulationGaurav Kumar, Sandeep Pagey, Mohit Sinha, and Manu Chopra2015Papery2015paper
Automatic Partitioning for Multi-core HDL SimulationGaurav Kumar, Sandeep Pagey, Mohit Sinha, and Manu Chopra2015Postery2015poster
Automatic SOC Test Bench CreationDavid Crutchfield, Mark Glasser, and Stephen Roe2015Papery2015paper
Automatic SOC Test Bench CreationDavid Crutchfield, Mark Glasser, and Stephen Roe2015Presentationy2015presentation
Automatic Translation of Natural Language to SystemVerilog AssertionsAbhishek Chauhan2022Presentationy2022presentation
Automatic Translation of Natural Language to SystemVerilog AssertionsAbhishek Chauhan2022Papery2022paper
Automatic verification for Assertion Based Verification: How can a SPIRIT IP-XACT extension help?Sofiene Mejri and Mirella Negro Marcigaglia2010Papery2010paper
Automating sequence creation from a Microarchitecture specificationSubramoni Parameswaran and Ravi Ram2016Papery2016paper
Automating sequence creation from a microarchitecture specificationSubramoni Parameswaran and Ravi Ram2016Postery2016poster
Automating the formal verification sign-off flow of configurable digital IP’sGiovanni Auditore and Giuseppe Falconeri2019Presentationy2019presentation
Automating the formal verification sign-off flow of configurable digital IP’sGiovanni Auditore and Giuseppe Falconeri2019Papery2019paper
Automation for Early Detection of X-propagation in Power-Aware Simulation Verification using UPF IEEE 1801Tony Gladvin George, Ramesh Kumar, Kyuho Shim, Karan K, Wooseong Cheong, ByungChul Yoo2023Presentationy2023presentation
Automation for Early Detection of Xpropagation in Power-Aware Simulation Verification using UPF IEEE 1801Tony Gladvin George, Ramesh Kumar, Kyuho Shim, Karan K, Wooseong Cheong, ByungChul Yoo2023Papery2023paper
Automation Methodology for Bus Performance Verification using IP-XACTTaeyoung Jeon, Gunseo Koo, Youngsik Kim, Seonil Brian Cho2023Postery2023poster
Automation Methodology for Bus Performance Verification using IP-XACTTaeyoung Jeon, Gunseo Koo, Youngsik Kim, Seonil Brian Choi2023Papery2023paper
Automation of Power On Reset AssertionShang-Wei Tu, Penny Yang, Joydeep Gangopadhyay, and Amol Herlekar2015Papery2015paper
Automation of Reusable Protocol-Agnostic Performance Analysis in UVM EnvironmentsDaniel Carrington, Alan Pippin, and Timothy Pertuit2019Presentationy2019presentation
Automation of Reusable Protocol-Agnostic Performance Analysis in UVM EnvironmentsD. P. Carrington, A. J. Pippin, and T. Pertuit2019Papery2019paper
Avoiding Configuration Madness The Easy WayRich Edelman2023Presentationy2023presentation
Avoiding Configuration Madness The Easy WayRich Edelman2023Papery2023paper
Avoiding Confounding Configurations an RDC Methodology for Configurable DesignsEamonn Quigley, Jonathan Niven, and Kurt Takara2022Postery2022poster
Avoiding Confounding Configurations An RDC Methodology for Configurable DesignsEamonn Quigley, Jonathan Niven, and Kurt Takara2022Papery2022paper
BatchSolve: A Divide and Conquer Approach to Solving the Memory Ordering ProblemDebarshi Chatterjee, Ismet Bayraktaroglu, Nikhil Sathe, Kavya Shagrithaya, Siddhanth Dhodhi, Spandan Kachhadiya2022Presentationy2022presentation
BatchSolve: A Divide and Conquer Approach to Solving the Memory Ordering ProblemDebarshi Chatterjee, Ismet Bayraktaroglu, Nikhil Sathe, Kavya Shagrithaya, and Siddhanth Dhodhi2022Papery2022paper
Be a Sequence Pro to Avoid Bad Con SequencesJeff Vance, Jeff Montesano, Mark Litterick, and Jason Sprott2019Presentationy2019presentation
Best Practices in Verification PlanningBenjamin Ehlers and Paul Carzola2013Presentationy2013presentation
Best Practices in Verification PlanningBenjamin Ehlers, Carmen Vargas, and Paul Carzola2013Papery2013paper
Better Living Through Better Class-Based SystemVerilog DebugRich Edelman, Raghu Ardeishar, and John Amouroux2012Papery2012paper
Better Living Through Better Class-Based SystemVerilog DebugRich Edelman, Raghu Ardeishar, and John Amouroux2012Presentationy2012presentation
Beyond UVM: Creating Truly Reusable Protocol LayeringJanick Bergeron2013Presentationy2013presentation
Beyond UVM: Creating Truly Reusable Protocol LayeringJanick Bergeron, Fabian Delguste, Steve Knoeck, Steve McMaster, Aron Pratt, and Amit Sharma2013Papery2013paper
Bi-Directional UVM Agents and Complex Stimulus Generation for UDN and UPF PinsChuck McClish2021Papery2021paper
Big Data in Verification: Making Your Engineers SmarterDavid Lacey, Mike McGrath, Alan Pippin, Ed Powell, Ron Thurgood, and Alex Wilson2019Papery2019paper
Big Data in Verification: Making Your Engineers SmarterDavid Lacey, Michael McGrath, Alan Pippin, Ed Powell, Ron Thurgood, and Alex Wilson2019Presentationy2019presentation
Blending multiple metrics from multiple verification engines for improved productivityDarron May and Darren Galpin2012Papery2012paper
Boost Verification Results by Bridging the Hardware/Software Testbench GapMatthew Ballance2013Papery2013paper
Boost Verification Results by Bridging the Hw/Sw Testbench GapMatthew Ballance2013Presentationy2013presentation
BOOSTING SIMULATION PERFORMANCE OF UVM REGISTERS IN HIGH PERFORMANCE SYSTEMSAhmed Yehia2013Postery2013poster
BOOSTING SIMULATION PERFORMANCE OF UVM REGISTERS IN HIGH PERFORMANCE SYSTEMSAhmed Yehia2013Papery2013paper
Bridge the Portable Test and Stimulus to UVM Simulation EnvironmentTheta Yang and Evean Qin2018Presentationy2018presentation
Bridge the Portable Test and Stimulus to UVM Simulation EnvironmentTheta Yang and Evean Qin2018Papery2018paper
Bridging the gap between TLM-2.0 AT models and RTL – Experiments and OpportunitiesZhu Zhou, Atul Kwatra, Rajesh Gadiyar, and Paul Heraty2010Papery2010paper
Bringing Constrained Random into SoC SW-driven VerificationAlberto Allara and Fabio Brognara2013Presentationy2013presentation
Bringing Constrained Random into SoC SW-driven VerificationAlberto Allara and Fabio Brognara2013Papery2013paper
BRINGING CONTINUOUS DOMAIN INTO SYSTEMVERILOG COVERGROUPSPrabal K Bhattacharya, Swapnajit Chakraborti, Scott Little, Donald O’Riordan, and Vaibhav Bhutani2012Papery2012paper
BRINGING CONTINUOUS DOMAIN INTO SYSTEMVERILOG COVERGROUPSPrabal K Bhattacharya, Swapnajit Chakraborti, Donald O’Riordan, Vaibhav Bhutani, and Scott Little2012Presentationy2012presentation
Bringing Regression Systems into the 21st CenturyDavid Crutchfield2014Postery2014poster
Bringing Regression Systems into the 21st CenturyDavid Crutchfield and Thom Ellis2014Papery2014paper
Bringing Reset Domains and Power Domains together – Confronting issues due to UPF InstrumentationInayat Ali, Abdul Moyeen, Manish Bhati, Manjunatha Srinivas2021Papery2021paper
Bringing UVM to VHDLUVVM2022Presentationy2022presentation
Building a Comprehensive Hardware Security MethodologyAnders Nordstrom and Jagadish Nayak2022Presentationy2022presentation
Building Portable Stimulus Into Your IP-XACT FlowPetri Karppa, Lauri Matilainen, and Matthew Balance2018Papery2018paper
Building Portable Stimulus Into your IP-XACT FlowPetri Karppa, Lauri Matilainen, and Matthew Ballance2018Presentationy2018presentation
C through UVM: Effectively using C based models with UVM based Verification IPChris Spear, Kevork Dikramanjian, Abhisek Verma, and Senay Haile2013Papery2013paper
C through UVM: Effectively using C based models with UVM based Verification IPAdiel Khan, Chris Spear, Kevork Dikramanjian, Abhisek Verma, and Senay Haile2013Presentationy2013presentation
Caching Tool Run Results in Large Scale RTL Development ProjectsAshfaq Khan2022Presentationy2022presentation
Caching Tool Run Results in Large-Scale RTL Development ProjectsAshfaq Khan2022Papery2022paper
Calling All Checkers: Collaboratively Utilizing SVA in UVM Based SimulationHui C. K. Zhang2016Postery2016poster
Calling All Checkers: Collaboratively Utilizing SVA In UVM Based SimulationHui K. Zhang2016Papery2016paper
CAMEL – A Flexible Cache Model for Cache VerificationYue Liu, Fang Liu, Yunyang Song2022Presentationy2022presentation
CAMEL: A Flexible Cache Model for Cache VerificationYue Liu, Fang Liu, and Yunyang Song2022Papery2022paper
Can Formal Outsmart Synthesis: Improving Synthesis Quality of Results through Formal MethodsEldon Nelson2021Papery2021paper
Can My Synthesis Compiler Do That?Stuart Sutherland and Don Mills2014Papery2014paper
Can My Synthesis Compiler Do That?Stuart Sutherland and Don Mills2014Presentationy2014presentation
Can You Even Debug a 200M+ Gate Design?Horace Chan, Brian Vandegriend, Deepali Joshi, and Corey Goss2013Papery2013paper
Can You Even Debug a 200M+ Gate Design?Horace Chan, Brian Vandegriend, Deepali Joshi, and Corey Goss2013Postery2013poster
Case Study: Low-Power Verification Success Depends on Positive PessimismJohn Decker2011Papery2011paper
Case Study: Power-aware IP and Mixed-Signal VeriLuke Lang2011Papery2011paper
Case Study: Successes and Challenges of Validation Content ReuseMike Chin, Jonathan Edwards, Josh Pfrimmer, and Hooi Jing Tan2022Papery2022paper
Challenges and Mitigations of Porting a UVM Testbench from Simulation to Transaction-Based Acceleration (Co-Emulation)Vikas Billa and Sundar Haran2018Papery2018paper
Challenges and Mitigations of Porting a UVM Testbench from Simulation to Transaction-Based Acceleration (Co-Emulation)Vikas Billa and Sundar Haran2018Presentationy2018presentation
Challenges in UVM + Python Random Verification Environment for Digital Signal Processing Datapath DesignShabbar Vejlani and Ashok Chandran2016Presentationy2016presentation
Challenges in UVM + Python random verification environment for Digital Signal Processing datapath design.Shabbar Vejlani and Ashok Chandran2016Papery2016paper
Check Low-Power Violations by Using Machine Learning Based ClassifierChi-Ming Lee, Chung-An Wang, Cheok-Yan Goh, Chia-Cheng Tsai, Chien-Hsin Yeh, Chia-Shun Yeh, Chin-Tang Lai2023Postery2023poster
Check Low-Power Violations by Using Machine Learning Based ClassifierChi-Ming Lee, Chung-An Wang, Cheok-Yan Goh, Chia-Cheng Tsai, Chien-Hsin Yeh, Chia-Shun Yeh, Chin-Tang Lai2023Papery2023paper
Checking security path with formal verification tool: new application developmentJulia Dushina, Saumil Shah, Joerg Mueller, and Vincent Reynolds2014Papery2014paper
Checking Security Path with Formal Verification Tool: New Application DevelopmentJulia Dushina and Joerg Mueller2014Postery2014poster
Chef’s Special – an Efficient Verification Recipe for Maximizing Productivity While Using a Third Party Verification IPVarun S and Bhavik Vyas2012Papery2012paper
Cleaning Out Your Pipes – Pipeline Debug in UVM TestbenchesRich Edelman and Neil Bulman2018Papery2018paper
Cleaning Out Your Pipes – Pipeline Debug in UVM TestbenchesRich Edelman and Neil Bulman2018Postery2018poster
CLOCK DOMAIN CROSSING CHALLENGES IN LATCH BASED DESIGNSMadan Das, PhD, Chris Kwok, and Kurt Takara2018Papery2018paper
Clock Domain Crossing Challenges in Latch Based DesignsMadan Das, Chris Kwok, and Kurt Takara2018Presentationy2018presentation
Clock Domain Crossing Verification in Transistor-level DesignHyungjung Seo, KwangSun Kim, YoungRok Choi, Jihwan Kim, and Jong-Bae Lee2019Postery2019poster
Closing Functional and Structural Coverage on RTL Generated by High-Level SynthesisBryan Bowyer2015Postery2015poster
Closing Functional and Structural Coverage on RTL Generated by High-Level SynthesisB. Bowyer2015Papery2015paper
Closing Functional Coverage With Deep Reinforcement Learning: A Compression Encoder ExampleEric Ohana2023Papery2023paper
Closing Functional Coverage With Deep Reinforcement Learning A Compression Encoder ExampleEric Ohana2023Presentationy2023presentation
Co-Developing Firmware and IP with PSSM. Ballance2022Papery2022paper
Co-Developing IP and SoC Bring-Up Firmware with PSSMatthew Ballance, Siemens EDA2022Presentationy2022presentation
Co-Simulating Matlab/Simulink Models in a UVM EnvironmentNeal Okumura, Paul Yue, and Glenn Richards2015Presentationy2015presentation
Co-Simulating Matlab/Simulink Models in a UVM EnvironmentNeal Okumura and Glenn Richards2015Papery2015paper
Coding Guidelines and Code GenerationJohn Aynsley and Dr. Christoph Sühnel2014Postery2014poster
Coherency Verification & Deadlock Detection Using Perspec/Portable StimulusMoonki Jang, Jiwoong Kim, Hyerim Chung, Phu L. Huynh, and Shai Fuss2019Papery2019paper
Coherency Verification & Deadlock Detection Using Perspec/Portable StimulusMoonki Jang and Phu Huynh2019Presentationy2019presentation
Combining Simulation with Formal Techniques to Reduce the Overall Verification CycleAneet Agarwal and Gaurav Gupta2010Papery2010paper
Command Line Debug Using UVM SequencesMark Peryer2011Papery2011paper
Common Challenges and Solutions to Integrating a UVM TestbenchFrank Verhoorn and Mike Baird2018Presentationy2018presentation
Common Challenges and Solutions to Integrating a UVM Testbench in Place of a Legacy Monolithic Testing EnvironmentFrank Verhoorn and Michael Baird2018Papery2018paper
Comparison of TLM2-Quantum Keeping and TLM+-Resource Modeling with regard to Timing in Virtual PrototypesWolfgang Ecker, Volkan Esen, Rainer Findenigy, Thomas Leitnerz and Michael Velten2011Papery2011paper
Complementing EDA with Meta-Modeling and Code GenerationWolfgang Ecker, Michael Velten, Leily Zafari, and Ajay Goyal2014Papery2014paper
Complementing EDA with Meta-Modelling & Code GenerationWolfgang Ecker, Michael Velten, Leily Zafari, and Ajay Goyal2014Postery2014poster
Complementing EDA with Meta-Modelling and Code GenerationEcker Wolfgang, Michael Velten, Ajay Goyal, and Leily Zafari2014Presentationy2014presentation
Complex Safety Mechanisms Require Interoperability and Automation For Validation And Metric ClosureDaeseo Cha, Vedant Garg, Ann Keffer, Arun Gogineni, James Kim, Woojoo Space Kim, Kunhyuk Kang, Seonil Brian Choi2023Papery2023paper
Complex Safety Mechanisms Require Interoperability and Automation For Validation And Metric ClosureDaeseo Cha, Vedant Garg2023Presentationy2023presentation
CompMon: Ensuring Rigorous Protocol Specification and IP ComplianceRobert Adler, Sava Krstic and Erik Seligman2011Papery2011paper
Comprehensive and Automated Static Tool Based Strategies for the Detection and Resolution of Reset Domain CrossingsYossi Mirsky2017Presentationy2017presentation
Comprehensive and Automated Static Tool Based Strategies for the Detection and Resolution of Reset Domain CrossingsYossi Mirsky B.Sc, M.Sc, MBA2017Papery2017paper
Comprehensive IP to SoC CDC Verification Using Hybrid Data ModelAnwesha Choudhury and Ashish Hari2018Postery2018poster
Comprehensive IP to SoC Clock Domain Crossing Verification Using Hybrid Data ModeAnwesha Choudhury and Ashish Hari2018Papery2018paper
Comprehensive Metrics-Based Methodology to Achieve Low-Power System-on-ChipsEllie Burns, Gabriel Chidolue, and Guillaume Boillet2018Presentationy2018presentation
Comprehensive Register Description Languages: The case for standardization of RDLs across design domainsDavid C Black and Doug Smith2012Papery2012paper
Comprehensive Systemverilog-Systemc-Vhdl Mixed-Language Design MethodologyRudra Mukherjee, Gaurav Kumar Verma, and Sachin Kakkar2010Papery2010paper
Conditional Delays for Negative Limit Timing Checks in Event Driven SimulationNadeem Kalil and David Roberts2015Papery2015paper
Conditional Delays for Negative Limit Timing Checks in Event Driven SimulationN. Kalil and D. Roberts2015Postery2015poster
Confidently Sign-off Any low-Power Designs without ConsequencesMadhur Bhargava, Jitesh Bansal, and Progyna Khondkar2022Postery2022poster
Confidently Sign-Off Any Low-Power Designs Without ConsequencesMadhur Bharga, Jitesh Bansal and Progyna Khondkar2022Papery2022paper
Configuration Conundrum: Managing Test Configuration with a Bite Sized SolutionKevin Vasconcellos, Jeff McNeal2021Papery2021paper
Configuring Your Resources the UVM Way!Parag Goel, Amit Sharma, and Rajiv Hasija2012Papery2012paper
Configuring Your Resources the UVM Way!Parag Goel, Amit Sharma, and Rajiv Hasija2012Presentationy2012presentation
CONNECTING THE DOTS: APPLICATION OF FORMAL VERIFICATION FOR SOC CONNECTIVITYBin Ju2014Papery2014paper
CONNECTING THE DOTS: APPLICATION OF FORMAL VERIFICATION FOR SOC CONNECTIVITYBin Ju2014Postery2014poster
Connecting UVM with Mixed-Signal DesignIvica Ignjić2017Presentationy2017presentation
CONNECTING UVM WITH MIXED-SIGNAL DESIGNIvica Ignjić2017Papery2017paper
Connectivity and BeyondShahid Ikram, Joseph DErrico, Yasmin Farhan, Jim Ellis, and Tushar Parikh2019Papery2019paper
Connectivity and BeyondShahid Ikram, Joseph DErrico, Yasmin Farhan, Jim Ellis, and Tushar Parikh2019Presentationy2019presentation
Conquer the Graphics Legacy: Develop the Customized UVM VIP Embedded a Cycle-based C++ Reference ModelRoman Wang2019Postery2019poster
Conscious of Streams Managing Parallel StimulusJeff Wilcox2012Presentationy2012presentation
Conscious of Streams: Managing Parallel StimulusJeffrey Wilcox and Stephen D’Onofrio2012Papery2012paper
Consistent SystemC and VHDL Code Generation from State Charts for Virtual Prototyping and RTL SynthesisRainer Findenig, Thomas Leitner, and Wolfgang Ecker2011Papery2011paper
CONSTRAINING THE REAL PROBLEM OF FLOATING POINT NUMBER DISTRIBUTIONMartin Fröjd, Adiel Khan, and Jussi Mäkelä2014Papery2014paper
CONSTRAINING THE REAL PROBLEM OF FLOATING POINT NUMBER DISTRIBUTIONJussi Mäkelä, Martin Fröjd, and Adiel Khan2014Presentationy2014presentation
CONSTRAINING THE REAL PROBLEM OF FLOATING POINT NUMBER DISTRIBUTIONJussi Mäkelä, Martin Fröjd, and Adiel Khan2014Presentationy2014presentation
Context-Aware DFM Rule Analysis and Scoring Using Machine LearningVikas Tripathi, Valerio Perez, Yongfu Li, Zhao Chuan Lee, I-Lun Tseng, and Jonathan Ong2018Papery2018paper
Context-Aware DFM Rule Analysis and Scoring Using Machine LearningVikas Tripathi, Valerio Perez, Yongfu Li, Zhao Chuan Lee, I-Lun Tseng, and Jonathan Ong2018Postery2018poster
Conversion of Performance Model to Functional ModelH G Pavan Kumar, Sumail Singh Brar, Ashwani Aggarwal, Seonil Brian Choi, Woojoo Space Kim2021Papery2021paper
Coverage Data Exchange is no robbery…or is it?Darron May and Samiran Laha2015Papery2015paper
Coverage Data Exchange is no robbery…or is it?Darron May and Samiran Laha2015Postery2015poster
Coverage Driven Distribution of Constrained Random StimuliRaz Azaria, Amit Metodi, and Marat Teplitsky2015Presentationy2015presentation
Coverage Driven Distribution of Constrained Random StimuliMarat Teplitsky, Amit Metodi, and Raz Azaria2015Papery2015paper
Coverage Driven Signoff with Formal Verification on Power Management IPsBaosheng Wang and Xiaolin Chen2016Papery2016paper
Coverage Driven Signoff with Formal Verification on Power Management IPsBaosheng Wang and Xiaolin Chen2016Postery2016poster
Coverage Driven Verification of an Unmodified DUT within an OVM TestbenchMichael Baird2010Papery2010paper
Coverage Models for Formal VerificationXiushan Feng, Xiaolin Chen, and Abhishek Muchandikar2017Papery2017paper
Coverage Models for Formal VerificationXiushan Feng, Xiaolin Chen, and Abhishek Muchandikar2017Postery2017poster
COVERGATE: Coverage ExposedRich Edelman2020Papery2020paper
COVERGATE: Coverage ExposedRich Edelman2020Postery2020poster
Creating 5G Test Scenarios, the Constrained-Random wayKeshav Kannan and Eric P. Kim2023Papery2023paper
Creating 5G Test Scenarios, the Constrained-Random wayKeshav Kannan, Eric P. Kim2023Presentationy2023presentation
Creating a Complete Low Power Verification Strategy using the Common Power Format and UVMRobert Meyer and Joel Artmann2012Papery2012paper
Creating the Optimal Regression Farm Infrastructure That Meets All Your Team’s Simulation RequirementsDavid Lacey and Ed Powell2018Postery2018poster
Creating the Optimal Regression Farm Infrastructure That Meets All Your Team’s Simulation RequirementsDavid Lacey and Ed Powell2018Papery2018paper
Cross Coverage of Power StatesVeeresh Vikram Singh and Awashesh Kumar2016Papery2016paper
Data-Driven Verification: Driving the next wave of productivity improvementsLarry Melling, Chris Komar, Sharon Rosenberg, Michael Young, and Hanan Moller2019Presentationy2019presentation
DatagenDV: Python Constrained Random Test Stimulus FrameworkJon George, James Mackenzie2023Presentationy2023presentation
DatagenDV: Python Constrained Random Test Stimulus FrameworkJonathan George, James Mackenzie2023Papery2023paper
De-mystifying synchronization between various verification components by employing novel UVM classesPushpal Nautiyal and Gaurav Chugh2016Papery2016paper
De-mystifying synchronization between various verification components by employing novel UVM classesPushpal Nautiyal and Gaurav Chugh2016Postery2016poster
Deadlock Free Design Assurance Using Architectural Formal VerificationBhushan Parikh, Shaman Narayana2023Papery2023paper
Deadlock Free Design Assurance Using Architectural Formal VerificationBhushan Parikh, Shaman Narayana2023Presentationy2023presentation
Deadlock Verification For Dummies – The Easy Way of Using SVA and FormalMark Eslinger, Jeremy Levitt, and Joe Hupcey III2020Postery2020poster
Deadlock Verification For Dummies – The Easy Way Using SVA and FormalMark Eslinger, Jeremy Levitt, and Joe Hupcey III2020Papery2020paper
Dealing with Programmable IP: Where the Rubber Meets the PSS Deployment RoadKarthick Gururaj2020Presentationy2020presentation
Debarshi Chatterjee, Spandan Kachhadia, Chen Luo, Kumar Kushal, Siddhanth DhodhiMoonki Jang2023Presentationy2023presentation
Debug APIs – next wave of innovation in DV spaceSrinivasan Venkataramanan and Ajeetha Kumari2017Postery2017poster
Debug APIs – next wave of innovation in DV spaceSrinivasan Venkataramanan and Ajeetha Kumari2017Papery2017paper
Debug Challenges in Low-Power Design and VerificationDurgesh Prasad, Madhur Bhargava, Jitesh Bansal, and Chuck Seeley2015Postery2015poster
Debug Challenges in Low-Power Design and VerificationDurgesh Prasad, Madhur Bhargava, Jitesh Bansal, and Chuck Seeley2015Papery2015paper
Debugging Communicating Systems: The Blame Game – Blurring the Line Between Performance Analysis and DebugRich Edelman and Raghu Ardeishar2014Papery2014paper
Debugging Functional Coverage Models Get The Most Out of Your Cover CrossesMennatallah Amer and Amr Hany2018Presentationy2018presentation
Debugging Functional Coverage Models Get The Most Out of Your Cover CrossesMennatallah Amer and Amr Hany2018Papery2018paper
Deep Learning for Design and Verification EngineersJohn Aynsley2018Presentationy2018presentation
Deep Learning for EngineersJohn Aynsley2019Presentationy2019presentation
Deep Predictive Coverage CollectionRajarshi Roy, Chinmay Duvedi, Saad Godil, and Mark Williams2018Presentationy2018presentation
Deep Predictive Coverage CollectionRajarshi Roy, Chinmay Duvedi, Saad Godil, and Mark Williams2018Papery2018paper
Defining TLM+Wolfgang Ecker, Volkan Esen, Robert Schwencker, and Michael Velten2010Papery2010paper
DeltaCov: Automated Stimulus Quality Monitoring SystemNimish Girdhar, Srinivas Badam2021Papery2021paper
Democratizing Digital-centric Mixed-signal Verification methodologiesSumit Vishwakarma2023Presentationy2023presentation
Demystifying Formal Testbenches: Tips, Tricks, and RecommendationsShahid Ikram, Mark Eslinger 2023Papery2023paper
Demystifying Formal Testbenches: Tips, Tricks, and RecommendationsDr. Shahid Ikram, Mark Eslinger2023Presentationy2023presentation
Demystifying the UVM Configuration DatabaseVanessa R. Cooper and Paul Marriott2014Papery2014paper
Demystifying the UVM Configuration DatabaseVanessa R. Cooper and Paul Marriott2014Postery2014poster
Deploying Customized Solution for Graphics Registers with UVM1.2 RALRoman Wang, Nigel Wang, Lunping Guo, Robert Liu, and Jia Zhu2018Papery2018paper
Deploying Customized Solution for Graphics Registers with UVM1.2 RALRoman Wang, Nigel Wang, Lunping Guo, Robert Liu, and Jia Zhu2018Presentationy2018presentation
Deploying Parameterized Interface with UVMWayne Yun and Shihua Zhang2013Papery2013paper
DEPLOYING PARAMETERIZED INTERFACE WITH UVMWayne Yun and Shihua Zhang2013Postery2013poster
Design and Verification of a Multichip Coherence ProtocolShahid Ikram, Isam Akkawi, Richard Kessler, Jim Ellis, and David Asher2015Papery2015paper
Design and Verification of a Multichip Coherence ProtocolShahid Ikram, Isam Akkawi, Richard Kessler, Jim Ellis, and David Asher2015Postery2015poster
Design and Verification of an Image Processing CPU using UVMMilos Becvar and Greg Tumbush2013Papery2013paper
Design and Verification of an Image Processing CPU Using UVMGreg Tumbush and Milos Becvar2013Presentationy2013presentation
Design Guidelines for Formal VerificationAnamaya Sullerey2015Presentationy2015presentation
Design Guidelines for Formal VerificationAnamaya Sullerey2015Papery2015paper
Design Patterns by Example for SystemVerilog Verification Environments Enabled by SystemVerilog 1800-2012Eldon Nelson M.S. P.E.2016Papery2016paper
Design Patterns by Example for SystemVerilog Verification Environments Enabled by SystemVerilog 1800-2012Eldon Nelson2016Presentationy2016presentation
Designers Work Less with Quality Formal Equivalence CheckingOrly Cohen, Moran Gordon, Michael Lifshits, Alexander Nadel, and Vadim Ryvchin2010Papery2010paper
Designing Portable UVM Test Benches for Reusable IPsXiaoning Zhang, Baosheng Wang, and Terry Li2015Papery2015paper
Designing Portable UVM Test Benches for Reusable IPsXIAONING ZHANG and BAOSHENG WANG2015Postery2015poster
Designing PSS Environment Integration for Maximum ReuseMatthew Ballance2020Presentationy2020presentation
Designing PSS Environment Integration for Maximum ReuseMatthew Ballance2020Papery2020paper
Designing, Verifying and Building an Advanced L2 Cache Sub-System using SystemCThomas Tessier, Dr. Hai Lin, Daniel Ringoen, Eileen Hickey, and Steven Anderson2012Papery2012paper
Designing, Verifying and Building an Advanced L2 Cache Subsystem using SystemCSteve Frank, Thomas Tessier, Dr. Hai Lin, Daniel Ringoen, Eileen Hickey, and Steven Anderson2012Presentationy2012presentation
Detecting Circular Dependencies in Forward Progress CheckersSaurabh Chaurdia, Arun Khurana, Naveen Kumar, Aditya Chaurasiya, Yogesh Mahajan, Prasenjit Biswas2021Papery2021paper
Detecting Harmful Race Conditions in SystemC Models Using Formal TechniquesSven Beyer and Dominik Strasser2015Papery2015paper
Detecting Harmful Race Conditions in SystemC Models Using Formal TechniquesSven Beyer and Dominik Strasser2015Presentationy2015presentation
Determining Test Quality through Dynamic Runtime Monitoring of SystemVerilog AssertionsKelly D. Larson2014Presentationy2014presentation
Determining Test Quality through Dynamic Runtime Monitoring of SystemVerilog AssertionsKelly D. Larson2014Papery2014paper
Detoxify Your Schedule With A Low-Fat UVM EnvironmentNihar Shah2016Papery2016paper
Detoxify Your Schedule With A Low-Fat UVM Environment A success story on using self-checking stimulus instead of a scoreboard to reduce development timeNihar Shah2016Postery2016poster
Developing a Portable Block Testbench and Reusable SOC Verification Scenarios Using IP XACT Based AutomationTaejin Kim, Minjae Kim, Yonghyun Yang, Hyundon Kim, Daewoo Kim, Tae Hee Han, and Seonil Brian Choi2020Presentationy2020presentation
Did Power Management Break My CDC Logic? An Integrated Approach to Power Domain and Clock Domain Crossing VerificationAshish Amonkar, Kurt Takara, and Avinash Agrawal2020Presentationy2020presentation
Digitizing Mixed Signal VerificationDavid Brownell and Courtney Schmitt2014Papery2014paper
Digitizing Mixed Signal Verification: Digital Verification Techniques Applied to Mixed Signal and Analog Blocks on the LMA ProjectDavid Brownell and Courtney Schmitt2014Presentationy2014presentation
Discover Over-Constraints by Leveraging Formal ToolDongsheng Ouyang, Ray Zhang, Lucas Liu, Doris Yin, Wayne Ding2023Papery2023paper
Discover Over-Constraints by Leveraging Formal ToolDongsheng Ouyang, Ray Zhang, Lucas Liu, Doris Yin, Wayne Ding2023Postery2023poster
Distributed Simulation of UVM TestbenchTheta Yang2016Postery2016poster
Distributed Simulation of UVM TestbenchTheta Yang2016Papery2016paper
Do not forget to ‘Cover’ your SystemC code with UVMCVishal Baskar2023Postery2023poster
Do not forget to ‘Cover’ your SystemC code with UVMCVishal Baskar2023Papery2023paper
Do not forget to ‘Cover’ your SystemC code with UVMCVishal Baskar2023Papery2023paper
Do You Know What Your Assertions Are Up To? A New Approach to Safety Critical VerificationLee C. Smith2016Papery2016paper
Do You Know What Your Assertions Are up To? A New Approach to Safety Critical VerificationLee C. Smith2016Presentationy2016presentation
Do You Verify Your Verification Components?Josh Rensch and Neil Johnson2016Postery2016poster
Doing Funny Stuff with the UVM Register Layer: Experiences Using Front Door Sequences, Predictors, and CallbacksJohn Aynsley2017Presentationy2017presentation
Doing Funny Stuff with the UVM Register Layer: Experiences Using Front Door Sequences, Predictors, and CallbacksJohn Aynsley2017Papery2017paper
Doing the Impossible: Using Formal Verification on Packet Based Data PathsDoug Smith 2023Papery2023paper
Doing the Impossible: Using Formal Verification on Packet Based Data PathsDoug Smith2023Presentationy2023presentation
Don’t delay catching bugs: Using UVM based architecture to model external board delaysAmit Paunikar, Saurabh Arya, Vikas Makhija, and Shaily Khare2018Postery2018poster
Don’t delay catching bugs: Using UVM based architecture to model external board delaysAmit Paunikar, Saurabh Arya, Vikas Makhija, and Shaily Khare2018Papery2018paper
Double the Return from your Property Portfolio: Reuse of Verification Assets from Formal to SimulationJonathan Bromley2015Presentationy2015presentation
Double the Return from your Property Portfolio: Reuse of Verification Assets from Formal to SimulationJonathan Bromley2015Papery2015paper
DPI Redux. Functionality. Speed. Optimization.Rich Edelman, Rohit Jain, and Hui Yin2017Papery2017paper
DPI Redux. Functionality. Speed. Optimization.Rich Edelman, Rohit Jain, and Hui Yin2017Presentationy2017presentation
Dr. Shahid Ikram, Distinguished Engineer, Marvell Semi Mark Eslinger, Product Engineer, SiemensDaniel Cross2023Presentationy2023presentation
DVCon U.S 2021 ProceedingsAccellera Systems Initiative2021Programy2021program
DVCon U.S. 2021 ProceedingsAccellera Systems Initiative2021Videoy2021video
DVCon U.S. 2022 ProceedingsAccellera Systems Initiative2022Videoy2022video
DVCon US 2022 ProceedingsAccellera Systems Initiative2022Programy2022program
DVCon US 2023 ProceedingsAccellera Systems Initiative 2023Programy2023program
DVCon USA 2023 ProceedingsAccellera Systems Initiative2023Videoy2023video
DVS Interface Element – A Novel Approach in Multi-Power Domain, Mixed-Signal Design VerificationVijay Kumar Sankaran, Ji Du, Qingyu Lin, Arumugan A, and Badrinarayan Zanwar2016Papery2016paper
DVS Interface Element—A Novel Approach in Multi-Power Domain, Mixed-Signal Design VerificationShekar Chetput2016Postery2016poster
Dynamic and Scalable OVM Stimulus for Accelerated Functional CoverageMichael J Castle2012Papery2012paper
Dynamic Control Over UVM Register Backdoor HierarchyRoy Vincent, Unnikrishnan Nath, and Ashok Chandran2019Postery2019poster
Dynamic Regression Suite Generation Using Coverage-Based ClusteringShahid Ikram and Jim Ellis2017Papery2017paper
Dynamic Regression Suite Generation Using Coverage-Based ClusteringShahid Ikram and Jim Ellis2017Presentationy2017presentation
Dynamically Optimized Test Generation Using Machine LearningRajarshi Roy, Mukhdeep Singh Benipal, Saad Godil2021Papery2021paper
e/eRM to SystemVerilog/UVM: Mind the Gap, But Don’t Miss the TrainAvidan Efody and Michael Horn2012Papery2012paper
e/eRM to SystemVerilog/UVM: Mind the Gap, But Don’t Miss the TrainMichael Horn2012Presentationy2012presentation
Early Detection of Functional Corner Case Bugs using Methodologies of the ISO 26262Moonki Jang, Sunil Roe, Youngsik Kim, Seonil Brian Choi2023Papery2023paper
EARLY SOFTWARE DEVELOPMENT AND VERIFICATION METHODOLOGY USING HYBRID EMULATION PLATFORMWoojoo Kim, Haemin Park, Hyundon Kim, Seonil Brian Choi, and SukWon Kim2017Papery2017paper
EASI2L: A Specification Format for Automated Block Interface Generation and VerificationChintan Kaur, Ravi Narayanaswami, and Richard Ho2016Papery2016paper
Easier SystemVerilog with UVM: Taming the BeastJohn Aynsley2012Papery2012paper
Easier SystemVerilog with UVM: Taming the BeastJohn Aynsley2012Presentationy2012presentation
Easier UVM – Coding Guidelines and Code GenerationJohn Aynsley and Dr. Christoph Sühnel2014Papery2014paper
Easier UVM for Functional Verification by Mainstream UsersJohn Aynsley2011Papery2011paper
Easy Steps Towards Virtual Prototyping using the SystemVerilog DPIDave Rich2013Papery2013paper
Easy uvm_config_db use: A simplified and reusable uvm_config_db methodology for environment developers and test writersBob Oden2015Postery2015poster
Easy uvm_config_db use: A simplified and reusable uvm_config_db methodology for environment developers and test writersBob Oden2015Papery2015paper
Effects of Abstraction in Stimulus Generation of Layered Protocols within OVMJosh Rensch and Jesse Prusi2010Papery2010paper
Efficient and Exhaustive Floating Point Verification Using Sequential Equivalence CheckingTravis W. Pouarz and Vaibhav Agrawal2017Papery2017paper
Efficient and Exhaustive Floating Point Verification Using Sequential Equivalence CheckingTravis Pouarz and Vaibhav Agrawal2017Presentationy2017presentation
Efficient Bug-Hunting Techniques Using Graph-Based Stimulus ModelsNguyen Le and Mike Andrews2016Papery2016paper
Efficient Bug-Hunting Techniques Using Graph-Based Stimulus ModelsNguyen Le and Mike Andrews2016Presentationy2016presentation
Efficient distribution of video frames to achieve better throughputBhavik Vyas and Suruchi Jain2012Papery2012paper
Efficient hierarchical low power verification of custom designs using static and dynamic techniquesHimanshu Bhatt, Archanna Srinivasan, and Chong Lee Kuay2019Postery2019poster
Efficient Methods for Display Power Estimation & VisualizationSrikanth Reddy Rolla and Aakash Modi2020Postery2020poster
Efficient Methods for Display Power Estimation and VisualizationSrikanth Reddy Rolla and Aakash Modi2020Papery2020paper
Efficient SCE-MI Usage to Accelerate TBA PerformancePonnambalam Lakshmanan, Prashantkumar Ravindra, and Rajarathinam Susaimanickam2017Papery2017paper
Efficient Simulation Based Verification by ReorderingChao Ya and Kevin Jones2010Papery2010paper
Efficient SoC Level Mixed Signal Frontend Verification using Wreal ModelsAnu Marisha, Nayana Prakash, Udit Kumar, and Rajesh Tiwari2014Presentationy2014presentation
Efficient SoC Level Mixed Signal Frontend Verification using Wreal ModelsAnu Marisha, Nayana Prakash, Udit Kumar, Rajesh Tiwari, and Vijay Kumar Birange2014Papery2014paper
Efficient Standard Co-Emulation Modeling Interface (SCE-MI) Usage to Accelerate TBA PerformancePonnambalam Lakshmanan2017Presentationy2017presentation
EMULATION BASED FULL CHIP LEVEL LOW POWER VALIDATION AT PRE-SILICON STAGEKyoungmin Park, Jaegeun Song, Hyundon Kim, Seonil Brian Choi, and Suk Won Kim2017Papery2017paper
Emulation Based Power and Performance Workloads on ML NPUsPragati Mishra, Ritu Suresh, Issac Zacharia, and Jitendra Aggarwal2022Postery2022poster
Emulation Based Power and Performance Workloads on ML NPUsPragati Mishra, Ritu Suresh, Issac Zacharia, and Jitendra Aggarwal2022Papery2022paper
Emulation Testbench Optimizations for better Hardware Software Co-ValidationVijayakrishnan Rousseau, Suresh Balasubramanian, Srikanth Reddy Rolla, and Mohamed Saheel Nandikotkur Hussainsaheb2019Postery2019poster
End to End Formal Verification Strategies for IP VerificationJacob Ryan Maas, Nirabh Regmi, Ashish Kulkarni, and Krishnan Palaniswami2017Papery2017paper
End to End Formal Verification Strategies for IP VerificationJacob Ryan Maas, Nirabh Regmi, Krishnan Palaniswami, and Ashish Kulkarni2017Postery2017poster
Engineered SystemVerilog ConstraintsJeremy Ridgeway2015Papery2015paper
Engineered SystemVerilog ConstraintsJeremy Ridgeway2015Presentationy2015presentation
Enhanced Dynamic Hybrid Simulation Framework for Hardware-Software VerificationVictor Besyakov2022Postery2022poster
Enhanced Dynamic Hybrid Simulation Framework for Hardware-Software VerificationVictor Besyakov2022Papery2022paper
Environment for efficient and reusable SystemC module level verificationFlavia Gonția2014Presentationy2014presentation
Environment for efficient and reusable SystemC module level verificationFlavia Gontia2014Papery2014paper
Equivalence Validation of Analog Behavioral ModelsHardik Parekh, Manish Kumar Karna, Mohit Jain, Atul Pandey, and Sandeep Mittal2014Papery2014paper
Equivalence Validation of Analog Behavioral ModelsHardik Parekh, Manish Kumar Karna, Mohit Jain, Atul Pandey, and Sandeep Mittal2014Postery2014poster
Equivalence Validation of Analog Behavioral ModelsManish Kumar Karna, Hardik Parekh, Mohit Jain, Atul Pandey, and Sandeep Mittal2014Postery2014poster
Eradicating X-bugs and Achieving Higher Design Quality Using Static X-propagation Sign-offSanjay Thatte, Andrew Guyler, Nikhil Rahagude, and Savitha Raghunath2020Presentationy2020presentation
Error Injection in a Subsystem Level Constrained Random UVM TestbenchJeremy Ridgeway and Hoe Nguyen2018Presentationy2018presentation
Error Injection in a Subsystem Level Constrained Random UVM TestbenchJ. Ridgeway and H. Nguyen2018Papery2018paper
Error Injection: When Good Input Goes BadKurt Schwartz and Tim Corcoran2017Presentationy2017presentation
Error Injection: When Good Input Goes BadKurt Schwartz and Tim Corcoran2017Papery2017paper
Estimating Power Dissipation of End-User Application on RTLMagdy El-Moursy2022Presentationy2022presentation
Evaluating the Feasibility of a RISC V Core for Real Time Applications Using a Virtual PrototypeJ. Santana , G. Pachiana, T. Markwirth, C. Sohrmann, B. Fischer, M. Matschnig 2022Presentationy2022presentation
Evaluating the Feasibility of a RISCV Core for Real-Time Applications Using a Virtual PrototypeJuan Santana, Gabriel Pachiana, Thomas Markwirth, Christoph Sohrmann, Bernhard Fischer, and Martin Matschnig2022Papery2022paper
Every Cloud – Post-Silicon Bug Spurs Formal Verification AdoptionBlaine Hsieh, Stewart Li, and Mark Eslinger2015Papery2015paper
Every Cloud – Post-Silicon Bug Spurs Formal Verification AdoptionBlaine Hsieh, Stewart Li, and Mark Eslinger2015Presentationy2015presentation
Evolution of CDC recipe: Learning through real case studies and methodology improvementsAmit Kulkarni, Suhas DS, Deepmala Sachan2021Papery2021paper
Evolution of Triage: Real-time Improvements in Debug ProductivityGordon Allan2016Papery2016paper
Evolutionary and Revolutionary Innovation for Effective Verification Management & ClosureDarron May, Mark Carey, Dan Yu2023Presentationy2023presentation
Exhaustive Equivalence Checking on AMD’s Next-generation Microprocessor CoreBaosheng Wang, Brian McMinn, Borhan Roohipour, Ashok Venkatachar, Arun Chandra, Richard Bartolotti, and Lerzan Celikkanat2011Papery2011paper
Exhaustive Latch Flow – Through Verification with Formal MethodsBaosheng Wang, Sean Ater, Baris Piyade, Brian McMinn, and Borhan Roohipour2012Presentationy2012presentation
Exhaustive Latch Flow-through Verification with Formal MethodsBaosheng Wang, Sean Ater, Baris Piyade, Brian McMinn, and Borhan Roohipour2012Papery2012paper
Experience with OVM-Based Mixed-Signal Verification of the Impedance Calibration Block for a DDR InterfaceHarry Wang, Wessam El-Naji, and Kenneth Bakalar2012Papery2012paper
Experience with OVM-Based Mixed-Signal Verification of the Impedance Calibration Block for a DDR InterfaceHarry Wang, Wessam El-Naji, and Kenneth Bakalar2012Presentationy2012presentation
Experiences in Migrating a Chip-Level Verification Environment from UVM EA to UVM 1.1Ashish Kumar, Dave Stang, Dudyala Sasidhar, S, Manikandan, and Thirumalai Srishan2012Papery2012paper
Experiences in Migrating a Chip-Level Verification Environment from UVM-EA to UVM-1.xAshish Kumar, S Manikandan, Sasidhar Dudyala, Srishan Thirumalai, and Dave Stang2012Presentationy2012presentation
Experiencing Checkers for a Cache Controller DesignBen Cohen, Srinivasan Venkataramanan, Ajeetha Kumari, and Lisa Piper2010Papery2010paper
Exploring Machine Learning to assign debug priorities to improve the design qualityVyasa Sai, Vaibhav Gupta and Fylur Rahman Sathakathulla2023Papery2023paper
Exploring Machine Learning to assign debug priorities to improve the design qualityVyasa Sai, Vaibhav Gupta, Fylur Rahman2023Presentationy2023presentation
Exquisite modeling of verification IP: Challenges and RecommendationsAnuradha Tambad, Subashini Rajan, Shivani Upasani, Prashanth Srinivasa, Imran Ali, and Adiel Khan2012Papery2012paper
Exquisite Modeling of VIPAdiel Khan, Anuradha Tambad, Imran Ali, Prashanth Srinivasa, Shivani Upasani, and Subashini Rajan2012Presentationy2012presentation
Extendable Messaging Techniques for Debugging and Analyzing UVM Testbench Structure and Transaction FlowJun Zhao, Bindesh Patel, and Rex Chen2013Presentationy2013presentation
Extendable Messaging Techniques for Debugging and Analyzing UVM Testbench Structure and Transaction FlowJun Zhao, Bindesh Patel, and Rex Chen2013Papery2013paper
Extension of the Power-Aware IP Reuse Approach to ESLAntonio Genov, Loic Leconte, Fran ç ois Verdier2022Presentationy2022presentation
Fabric VerificationGalen Blake and Steve Chappell2012Presentationy2012presentation
Failure Triage: The Neglected Debugging ProblemSean Safarpour, Evean Qin, Yu-Shen Yang, and Brian Keng2012Papery2012paper
Failure Triage: The Neglected Debugging ProblemSean Safarpour, Evean Qin, Yu-Sheng Yang, and Brian Keng2012Presentationy2012presentation
Fast Track Formal Verification SignoffMandar Munishwar, Xiaolin Chen, Arunava Saha, and Sandeep Jana2018Postery2018poster
Fast Track Formal Verification SignoffMandar Munishwar, Xiaolin Chen, Arunava Saha, and Sandeep Jana2018Papery2018paper
Fault-Effect Analysis on Multiple Abstraction Levels in Hardware ModelingB-A. Tabacaru, M. Chaari, W. Ecker, T. Kruse, and C. Novello2016Presentationy2016presentation
Fault-Effect Analysis on Multiple Abstraction Levels in Hardware ModelingBogdan-Andrei Tabacaru, Moomen Chaari, Wolfgang Ecker, Thomas Kruse, and Cristiano Novello2016Papery2016paper
Finding a Needle in a Haystack: A Novel Log Analysis Method with Test Clustering in Distributed SystemJin Choi2022Presentationy2022presentation
Finding a Needle in a Haystack: A Novel Log Analysis Method with Test Clustering in Distributed SystemsJin Choi, Sangwoo Noh, Sooncheol Hong, Hanna Jang, Seonghee Yim, and Seonil Brian Choi2022Papery2022paper
Finding Hidden Bugs In Deep Cycles: Advanced Debug Methodologies for Software-first System ValidationYoucef Qassid and Andy Jolley2022Presentationy2022presentation
Finding the Last Bug in a CNN DMA UnitBruno Lavigueur, Dean Ke, Eric Rao, Fergus Casey, Achin Mittal, Pallavi Kumari, Michael Thompson, and Roger Sabbagh2020Presentationy2020presentation
Finding the Last Bug in a CNN DMA UnitBruno Lavigueur, Dean Ke, Eric Rao, Fergus Casey, Achin Mittal, Pallavi Kumari, Michael Thompson, and Roger Sabbagh2020Papery2020paper
First Reports from the UVM Trenches: User-friendly, Versatile and Malleable, or just the Emperor’s New Methodology?Jonathan Bromley2011Papery2011paper
Flattening the UVM Learning Curve Automated Solutions for DSP Filter VerificationAvinash Lakshminarayana, Eric Jackowski, Eric Cigan, and Mark Lin2022Papery2022paper
Flattening the UVM Learning Curve: Automated Solutions for DSP Filter VerificationAvinash Lakshminarayana, Eric Jackowski,Eric Cigan, Mark Lin2022Presentationy2022presentation
Flexible Checker: A one-stop shop for all your checkers and a methodology for elastic scoreboardingSaad Zahid, Chandra Veedhi, and Sumit Dhamanwala2019Postery2019poster
Flexible Indirect Registers with UVMUwe Simm2017Presentationy2017presentation
Fnob: Command Line-Dynamic Random GeneratorHaoxiang Hu, Tuo Wang2022Presentationy2022presentation
Fnob: Command Line-Dynamic Random GeneratorHaoxiang Hu and Tuo Wang2022Papery2022paper
Formal Architectural Specification and Verification of A Complex SOCShahid Ikram, Isam Akkawi, David Asher, and Jim Ellis2018Presentationy2018presentation
FORMAL ARCHITECUTRAL SPECIFICATION AND VERIFICATION OF A COMPLEX SOCShahid Ikram, Isam Akkawi, David Asher, and Jim Ellis2018Papery2018paper
Formal Bug Hunting with “River Fishing” TechniquesMark Eslinger and Ping Yeung2019Papery2019paper
Formal Bug Hunting with “River Fishing” TechniquesMark Eslinger and Ping Yeung2019Presentationy2019presentation
Formal Methods to Verify the Power Manager for an Embedded Multiprocessor ClusterKesava R. Talu2010Papery2010paper
Formal Proof for GPU Resource ManagementJia Zhu, Chuanqin Yan, and Nigel Wang2017Papery2017paper
Formal Proof for GPU Resource ManagementJia Zhu, Chuanqin Yan, and Nigel Wang2017Presentationy2017presentation
Formal Verification BootcampMike Bartley2019Presentationy2019presentation
Formal Verification by The Book: Error Detection and Correction CodesK. Devarajegowda, V. Hiltl, T. Rabenalt, D. Stoffel, W. Kunz, and W. Ecker2020Presentationy2020presentation
Formal Verification Experiences: Spiral Refinement Methodology for Silicon Bug HuntPing Yeung, Mark Eslinger, Jin Hou2021Papery2021paper
Formal Verification in the Real WorldJonathan Bromley and Jason Sprott2018Presentationy2018presentation
Formal Verification of Connections at SoC-levelPenny Yang, Prasun Das, Yuya Kao, and Mingchu Kuo2018Presentationy2018presentation
Formal Verification of Connections at SoC-levelPenny Yang, Prasun Das, Yuya Kao, and Mingchu Kuo2018Papery2018paper
FORMAL VERIFICATION OF FLOATING-POINT HARDWARE WITH ASSERTION-BASED VIPRavi Ram, Adam Elkins, Adnan Pratama, Sasa Stamenkovic, Sven Beyer, and Sergio Marchese2018Papery2018paper
Formal Verification of Floating-Point Hardware with Assertion-Based VIPRavi Ram, Adam Elkins, Adnan Pratama, Sasa Stamenkovic, Sven Beyer, and Sergio Marchese2018Presentationy2018presentation
Formal Verification of Macro-op Cache for Arm Cortex-A77, and its Successor CPUVaibhav Agrawal2020Presentationy2020presentation
Formal verification of Macro-op cache for Arm Cortex-A77, and its successor CPUVaibhav Agrawal2020Papery2020paper
Formal Verification of Silicon for Software Defined NetworkingSaurabh Shrivastava, Keqin Han, Anh Tran, Chirag Agarwal, Ankit Saxena, Achin Mittal, Anshul Jain, and Roger Sabbagh2018Papery2018paper
Formal Verification on Deep Learning Instructions of GPUJian (Jeffrey) Wang and Jia Zhu2018Papery2018paper
Formal Verification Tutorial Breaking Through the Knowledge BarrierSean Safarpour, Iain Singleton, Shaun Feng, Syed Suhaib, and Mandar Munishwar2018Presentationy2018presentation
FPGA-based Clock Domain Crossing Validation for Safety-Critical DesignsAlexander Gnusin2019Papery2019paper
FPGA-based Clock Domain Crossing Validation for Safety-Critical DesignsAlexander Gnusin2019Presentationy2019presentation
Free Yourself from the Tyranny of Power State Tables with Incrementally Refinable UPFProgyna Khondkar, Ping Yeung, Gabriel Chidolue, Joe Hupcey, Rick Koster, and Madhur Bhargava2017Papery2017paper
Free Yourself from the Tyranny of Power State Tables with Incrementally Refinable UPFProgyna Khondkar, Ping Yeung, Gabriel Chidolue, Joe Hupcey, Rick Koster, and Madhur Bhargava2017Postery2017poster
From Spec to Verification Closure: a case study of applying UVM-MS for first pass success to a complex Mixed-Signal SoC designNeyaz Khan and Yaron Kashai2012Papery2012paper
From Spec to Verification Closure: A case study of applying UVM-MS for first pass success to a complex MS-SoC designNeyaz Khan and Yaron Kashai2012Presentationy2012presentation
From the Magician’s Hat: Developing a Multi-Methodology PCIe Gen2 VIPAmit Sharma, Abhisek Verma, Varun S., and Anoop Kumar2011Papery2011paper
fsim_logic – A VHDL type for testing of FLYTRAPJoanne E. DeGroat, Ph.D.2013Presentationy2013presentation
fsim_logic – A VHDL type for testing of FLYTRAPJoanne E. DeGroat, Ph.D.2013Papery2013paper
FSM Minesweeper – Scalable Formal Verification Methodology for Detecting Hangs in Interacting FSMsAnshul Jain, Achutha KiranKumar V M, Harbaksh Gupta, Shashwat Singh2023Papery2023paper
FSM Minesweeper – Scalable FV Methodology for Detecting Hangs in Interacting FSMsAnshul Jain, Achutha KiranKumar V M, Harbaksh Gupta, Shashwat Singh2023Presentationy2023presentation
Full Flow Clock Domain Crossing – From Source to SiM. Litterick2016Papery2016paper
Full Flow Clock Domain Crossing – From Source To SiMark Litterick2016Presentationy2016presentation
Fully Automated Functional Coverage ClosureManohar Kodi, Sagar Sudam Patil, and Ranjith Nair2019Presentationy2019presentation
Fully Automated Functional Coverage ClosureManohar Kodi, Sagar Sudam Patil, and Ranjith Nair2019Papery2019paper
Fully Hierarchical CDC Analysis Using Comprehensive CDC Meta DatabaseYoungchan Lee, Youngsik Kim, Soenil Brian Choi, and Vikas Sachdeva2019Papery2019paper
Fully Hierarchical CDC Analysis Using Comprehensive CDC Meta-DatabaseYoungchan Lee, Youngsik Kim, and Seonil Brian Choi2019Presentationy2019presentation
Fun with UVM Sequences – Coding and DebuggingRich Edelman2019Papery2019paper
Fun with UVM Sequences Coding and DebuggingRich Edelman2019Presentationy2019presentation
Functional Coverage – without SystemVerilog!Alan Fitch and Doug Smith2010Papery2010paper
Functional Coverage Collection for Analog Circuits – Enabling Seamless Collaboration between Design and VerificationZ. Ye, H. Lin and A. M. Khan2016Papery2016paper
Functional Coverage Collection for Analog Circuits –Enabling Seamless Collaboration between Design and VerificationZhipeng Ye, Honghuang Lin and Asad Khan2016Presentationy2016presentation
Functional Coverage of Register Access via Serial Bus Interface using UVMD. M. Tomušilović2017Papery2017paper
FUNCTIONAL COVERAGE OF REGISTER ACCESS VIA SERIAL BUS INTERFACE USING UVMDarko M. Tomušilovic2017Postery2017poster
Functional coverage-driven verification with SystemC on multiple level of abstractionChristoph Kuznik and Wolfgang M¨uller2011Papery2011paper
Functional Instruction Set Simulator (ISS) of a Neural Network Accelerator IP with native “brain float16” formatDebajyoti Mukherjee, Arpita Sahu, Arathy B S, Saranga P Pogula2023Papery2023paper
Functional Safety Verification For ISO 26262Kevin Rich, Shekhar Mahatme, and Meirav Nitzan2018Presentationy2018presentation
Generation of Constraint Random Transactions for Verification of Mixed-Signal BlocksAlexander W. Rath, Sebastian Simon, Volkan Esen, and Wolfgang Ecker2014Papery2014paper
Generic Programming in SystemVerilogMark Glasser2016Papery2016paper
Generic SCSI-Based Host Controller Verification Framework Using SystemVerilogMohamed Rayan, Mohamed Samy, Haytham Ashour, Ashraf Salem2021Papery2021paper
Getting Beyond ISA Compliance: Advanced Core/SoC Verification for RISC-V and other BeastsAdnan Hamid2023Presentationy2023presentation
Getting Rid of False Errors when Verifying LSI Designs Including Non-DeterminismMatthieu Parizy and Hiroaki Iwashita2011Papery2011paper
GIT for Hardware DesignersJeffery Scott and Sanjeev Singh2015Postery2015poster
Git for Hardware DesignersJeffery Scott and Sanjeev Singh2015Papery2015paper
Goldilocks and System Performance ModelingRich Edelman and Shashi Bhutada2015Papery2015paper
Goldilocks and System Performance Modelling A SystemVerilog Adaptive Rate Control (ARC) Stimulus Generation MethodologyRich Edelman and Shashi Bhutada2015Postery2015poster
GoldMine: Automatic Assertion Generation and Coverage Closure in Design ValidationDavid Sheridan, Lingyi Liu, and Shobha Vasudevan2011Papery2011paper
Graph-IC VerificationDennis Ramaekers and Grégory Faux2012Papery2012paper
Graph-IC VerificationGregory Faux and Dennis Ramaekers2012Presentationy2012presentation
GraphCov: RTL Graph Based Test Biasing for Exploring Uncharted Coverage LandscapeDebarshi Chatterjee, Spandan Kachhadia, Chen Luo, Kumar Kushal, Siddhanth Dhodhi2023Papery2023paper
GraphCov: RTL Graph Based Test Biasing for Exploring Uncharted Coverage LandscapeDebarshi Chatterjee, Spandan Kachhadia, Chen Luo, Kumar Kushal, Siddhanth Dhodhi2023Presentationy2023presentation
Graphical Topology Info Structure for Constrained Random Verification in SoC/Subsystem TestsEvean Qin, Richard Bell, and David Chen2019Papery2019paper
Graphical Topology Info Structure for Constrained Random Verification in SoC/Subsystem TestsEvean Qin, Richard Bell, and David Chen2019Presentationy2019presentation
Guaranteed Vertical Reuse – C Execution In A UVM EnvironmentRachida El Idrissi and Alain Gonier2013Presentationy2013presentation
Guaranteed Vertical Reuse – C Execution In a UVM EnvironmentRachida El Idrissi and Alain Gonier2013Papery2013paper
Hardware Acceleration for UVM Based CLTsMohamed Saheel, Rohith M. S., and Andrew Tan2020Presentationy2020presentation
Hardware Emulation: ICE vs VirtualLauro Rizzatti2016Presentationy2016presentation
Hardware Trojan Design and Detection with Formal Verification to Deep Neural NetworkSi-Han Chen, Yu-Ting Huang, Yi-Chun Kao, Yean-Ru Chen, Shang-Wei Lin, Chia-I Chen2021Papery2021paper
Hardware/Software co-verification using Specman and SystemC with TLM portsHorace Chan and Brian Vandegriend2012Papery2012paper
Hardware/Software Co-Verification Using Specman and SystemC with TLM PortsHorace Chan2012Presentationy2012presentation
Hardware/Software Interface Formats A DiscussionRichard Weber, Jamsheed Agahi, Josh Rensch, Eric Sherk2023Presentationy2023presentation
Harnessing the Power of UVM for AMS Verification with XMODELJaeha Kim, Charles Dančak2023Presentationy2023presentation
Hierarchical UPF Design – The ‘Easy’ WayBrandon Skaggs, Chris Turman, Joe Whitehouse2023Papery2023paper
Hierarchical UPF Design – The ‘Easy’ WayBrandon Skaggs, Chris Turman, Joe Whitehouse2023Presentationy2023presentation
Hierarchical UPF: Uniform UPF across FE & BEDipankar Narendra Arya, Balaji Vishwanath Krishnamurthy, Aditi Nigam, Tahir Ali2022Presentationy2022presentation
Hierarchical UPF: Uniform UPF across FE & BEDipankar Narendra Arya, Balaji Vishwanath Krishnamurthy, Aditi Nigam, and Ali Tahir2022Papery2022paper
High-Level Synthesis Walks the Talk: Synthesizing a Complete Graphics Processing ApplicationThomas Bollaert2011Papery2011paper
High-Speed Interface IP Validation based on Virtual Emulation PlatformJaehun Lee, DaeSeo Cha, and Sungwook Moon2019Papery2019paper
High-Speed Interface IP Validation based on Virtual Emulation PlatformJaehun Lee, Daeseo Cha, and Sungwook Moon2019Presentationy2019presentation
Highly Configurable UVM Environment for Parameterized IP VerificationHongLiang Liu and Karl Whiting2015Papery2015paper
Highly Configurable UVM Environment for Parameterized IP VerificationHongLiang Liu and Karl Whiting2015Postery2015poster
Holistic Approach to IO Timing Verification Using Portable Stimulus and AssertionsAmitesh Khandelwal and Praveen Kumar2018Postery2018poster
Holistic Approach to IO Timing Verification Using Portable Stimulus and AssertionsAmitesh Khandelwal and Praveen Kumar2018Papery2018paper
Holistic Automated Code Generation: No Headache with Last-Minute ChangesKlaus Strohmayer and Norbert Pramstaller2012Papery2012paper
Holistic Automated Code Generation: No Headache with Last-Minute ChangesKlaus Strohmayer and Norbert Pramstaller2012Presentationy2012presentation
Hopscotch: A Scalable Flow-Graph Based Approach to Formally Specify and Verify Memory-Tagged Store Execution in Arm CPUsAbhinav Sethi, Madhu Iyer, Sai Komaravelli, and Vikram Khosa2022Papery2022paper
Hopscotch: A Scalable Flow-Graph Based Approach to Verify CPU Store ExecutionAbhinav Sethi, Madhu Iyer, Sai Komaravelli, and Vikram Khosa2022Presentationy2022presentation
How Do You Verify Your Verification Components?Josh Rensch and Neil Johnson2016Papery2016paper
How Far Can You Take UVM Code Generation and Why Would You Want To?John Aynsley2016Papery2016paper
How Far Can You Take UVM Code Generation and Why Would You Want To?John Aynsley2016Presentationy2016presentation
How HLS and SystemC is Delivering on its Promise of Design and Verification ProductivityStuart Swan, Mike Meredith, Matthew Bone, and Rangharajan Venkatesan2020Presentationy2020presentation
How I Learned to Stop Worrying and Love Benchmarking Functional Verification!Mike Bartley2012Presentationy2012presentation
How I Learned to Stop Worrying and Love Benchmarking Functional Verification!Mike Bartley and Mike Benjamin2012Papery2012paper
How to Avoid the Pitfalls of Mixing Formal and Simulation CoverageMark Eslinger, Joseph V Hupcey III, and Nicolae Tusinschi2022Presentationy2022presentation
How to Avoid the Pitfalls of Mixing Formal and Simulation CoverageMark Eslinger, Joseph V Hupcey III, and Nicolae Tusinschi2022Papery2022paper
How to Create Reusable Portable Testing and Stimulus Standard (PSS) Verification IPSharon Rosenberg2019Postery2019poster
How to Kill 4 Birds with 1 Stone: Using Formal Verification to Validate Legal Configurations, Find Design Bugs, and Improve Testbench and Software SpecificationsSaurabh Shrivastava, Kavita Dangi, Darrow Chu, and Mukesh Sharma2013Presentationy2013presentation
How to Kill 4 Birds with 1 Stone: Using Formal Verification to Validate Legal Configurations, Find Design Bugs, and Improve Testbench and Software SpecificationsSaurabh Shrivastava, Kavita Dangi, Darrow Chu, and Mukesh Sharma2013Papery2013paper
How to Overcome Editor Envy: Why Can’t My Editor Do That?Dillan Mills, Chuck McClish2021Papery2021paper
How to Stay Out of the News with ISO26262-Compliant VerificationCharles Battikha and Doug Smith2018Presentationy2018presentation
How to Succeed Against Increasing Pressure: Automated Techniques for Unburdening Verification EngineersJames Pascoe, Pierre Kuhn, and Steve Hobbs2013Papery2013paper
How to Succeed Against Increasing Pressure: Automated Techniques for Unburdening Verification EngineersJames Pascoe and Steve Hobbs2013Presentationy2013presentation
How to test the whole firmware/software when the RTL can’t fit the emulatorHorace Chan and Byron Watt2019Presentationy2019presentation
How to test the whole firmware/software when the RTL can’t fit the emulatorHorace Chan and Byron Watt2019Papery2019paper
How UPF 3.1 Reduces the Complexities of Reusing PA MacrosMadhusudhana Reddy Lebaka, Abraham Guizer, and Progyna Khondkar2020Postery2020poster
How UPF 3.1 Reduces the Complexities of Reusing Power Aware MacrosMadhusudhana Reddy Lebaka, Abraham Guizer, and Progyna Khondkar2020Papery2020paper
HSI Issues – Fake News or Not? How Hardware/Software Interface Impacts Tape-OutsGary Stringham, Rich Weber, and Jamsheed Agahi2020Presentationy2020presentation
Hybrid Approach to Testbench and Software Driven Verification on EmulationDebdutta Bhattacharya and Ayub Khan2018Papery2018paper
Hybrid Approach to Testbench and Software Driven Verification on EmulationDebdutta Bhattacharya and Ayub Khan2018Postery2018poster
Hybrid Emulation: Accelerating Software Driven Verification and DebugIssac P Zacharia and Jitendra Aggarwal2022Postery2022poster
Hybrid Emulation: Accelerating Software Driven Verification and DebugIssac P Zacharia and Jitendra Aggarwal2022Papery2022paper
I created the Verification GapRam Narayan and Tom Symons2015Papery2015paper
I created the Verification GapRam Narayan and Tom Symons2015Presentationy2015presentation
I’m Still In Love With My X! (but, do I want my X to be an optimist, a pessimist, or eliminated?)Stuart Sutherland2013Presentationy2013presentation
I’m Still In Love With My X! (but, do I want my X to be an optimist, a pessimist, or eliminated?)Stuart Sutherland2013Papery2013paper
IDeALS For All – Intelligent Detection and Accurate Localization of StallsPallavi Jesrani2020Postery2020poster
IDeALS for all – Intelligent Detection and Accurate Localization of StallsPallavi Jesrani2020Papery2020paper
Identifying unique power scenarios with data mining techniques at full SoC level with real workloadsAmir Attarha2023Papery2023paper
Identifying unique power scenarios with data mining techniques at full SoC level with real workloadsAmir Attarha, Pankaj Chauhan, Diwakar Agrawal, Satish-Kumar Agrawal, Gaurav Saharawat2023Presentationy2023presentation
IDEs Should be Available to Hardware Engineers Too!Syed Daniyal Khurram and Horace Chan2018Papery2018paper
IDEs SHOULD BE AVAILABLE TO HARDWARE ENGINEERS TOO!Syed Daniyal Khurram and Horace Chan2018Presentationy2018presentation
IEEE 1800-2009 SystemVerilog: Assertion-based Checker LibrariesEduard Cerny and Dmitry Korchemny2010Papery2010paper
IEEE 1800.2 UVM – Changes Useful UVM Tricks & TechniquesClifford E. Cummings2019Presentationy2019presentation
IEEE-Compatible UVM Reference Implementation and Verification ComponentsJustin Refice, Mark Strickland, Mark Peryer, Uwe Simm, and Srivatsa Vasudevan2018Presentationy2018presentation
Improve Emulator Test Quality By Applying Synthesizable Functional CoverageHoyeon Hwang, Taesung Kim, Sanghyun Park, Yong-Kwan Cho, Dohyung Kim, Wonil Cho, Sanggyu Park2023Presentationy2023presentation
Improve emulator test quality by applying synthesizable functional coverageHoyeon Hwang, Taeseong Kim, Sanghyun Park, Yong-Kwan Cho, Dohyung Kim, Wonil Cho, Sanggyu Park2023Papery2023paper
Improvement of UVM IP Validation using Portable Stimulus (PSS)Robert R Martin, Alan M Curtis, Gopinath Narasimhan, Qingwei Zhou2023Postery2023poster
Improvement of UVM Scenario Generation, Control and Reproducibility using Portable Stimulus (PSS) for IP ValidationRobert R. Martin, Alan M. Curtis, Gopinath L. Narasimhan, Qingwei Zhou2023Papery2023paper
Improving Constrained Random Testing by Achieving Simulation Verification Goals through Objective Functions, Rewinding and Dynamic Seed ManipulationEldon Nelson M.S. P.E.2017Papery2017paper
Improving Constrained Random Testing by Achieving Simulation Verification Goals through Objective Functions, Rewinding and Dynamic Seed ManipulationEldon Nelson M.S. P.E.2017Presentationy2017presentation
Improving Software Testing Speed by 100X with SystemC Virtualization in IoT DevicesDavid Barahona, Motaz Thiab, Isael Díaz, Joakim Urdahl, and Milica Orlandic2021Papery2021paper
Improving the UVM Register Model: Adding Product Feature based API for Easier Test ProgrammingKrishnan Balakrishnan, Courtney Fricano, and Kaushal Modi2016Papery2016paper
Improving the UVM Register Model: Adding Product Feature based API for Easier Test ProgrammingKrishnan Balakrishnan, Courtney Fricano, and Kaushal Modi2016Postery2016poster
Improving Verification Predictability and Efficiency Using Big DataDarron May2018Postery2018poster
Improving Verification Predictability and Efficiency Using Big DataDarron K. May2018Papery2018paper
In pursuit of Faster Register Abstract Layer (RAL) ModelAnmol Rana, Bhagwan Jha, and Harjeet Singh Sanga2019Postery2019poster
Incomplete Low-Power Verification Flow & the oncoming Internet of Things (IoT) Tsunami!Neyaz Khan and Kamran Haqqani2016Papery2016paper
Increased Regression Efficiency with Jenkins Continuous Integration Before You Finish Your Morning CoffeeThomas Ellis2017Papery2017paper
Increased Regression Efficiency with Jenkins Continuous Integration Before You Finish Your Morning CoffeeThom Ellis2017Postery2017poster
Increasing Regression Efficiency with Portable StimulusNiyaz. K. Zubair and Subba Kota Rao Sajja2020Papery2020paper
Innovative Techniques to Solve Complex RDC ChallengesRohit Kumar Sinha2020Presentationy2020presentation
Innovative Uses of SystemVerilog Bind Statements within Formal VerificationXiushan Feng and Christopher Starr2022Presentationy2022presentation
Innovative Uses of SystemVerilog Bind Statements within Formal VerificationXiushan Feng and Christopher Starr2022Papery2022paper
Integration of HDL Logic inside SystemVerilog UVM based Verification IPAleksandra Panajotu2020Papery2020paper
Integration of HDL Logic inside SystemVerilog UVM based Verification IPAleksandra Panajotu2020Postery2020poster
Interface Centric UVM Acceleration for Rapid SOC VerificationJiwoong Kim, Yoona Lhim, Hyungjin Park, Hyunsun Ahn, and Seonil Brian Choi2020Presentationy2020presentation
Interfacing Python with a Systemverilog Test BenchLakshay Grover and Kaushal Modi2019Postery2019poster
INTERPRETING UPF FOR A MIXED-SIGNAL DESIGN UNDER TESTKenneth Bakalar and Eric Jeandeau2014Papery2014paper
Interpreting UPF for aMixed‐Signal Design Under Test 2014Presentationy2014presentation
Introducing your team to an IDES. Dawson and M. Ballance2019Postery2019poster
Introduction to the 5 Levels of RISC-V Processor VerificationSimon Davidmann and Lee Moore2022Presentationy2022presentation
Introspection Into Systemverilog Without Turning It Inside OutDave Rich2016Postery2016poster
INTROSPECTION INTO SYSTEMVERILOG WITHOUT TURNING IT INSIDE OUT.Dave Rich2016Papery2016paper
IP Security Assurance Workshop: IntroductionMike Borza, Ambar Sarkar, Adam Sherer, and Brent Sherman (in spirit)2020Presentationy2020presentation
IP-XACT based SoC Interconnect Verification AutomationYoungRae Cho, YoungSik Kim, and Seonil Brian Choi2018Papery2018paper
IP-XACT based SoC Interconnect Verification AutomationYoungRae Cho, YoungSik Kim, and Seonil Brian Choi2018Presentationy2018presentation
IP/SoC Design, Co-Verify, Co-Validate, Co-Everything in 90 minutes!Nikita Gulliya, Neena Chandawale, and Anupam Bakshi2022Presentationy2022presentation
Ironic But Effective: How Formal Analysis Can Perfect Your Simulation ConstraintsPenny Yang, Jin Hou, Yuya Kao, Nan-Sheng Huang, Ping Yeung, and Joe Hupcey2017Papery2017paper
Ironic But Effective: How Formal Analysis Can Perfect Your Simulation ConstraintsPenny Yang, Jin Hou, Yuya Kao, Nan-Sheng Huang, Ping Yeung, and Joe Hupcey2017Presentationy2017presentation
Is It a Software Bug? Is It a Hardware Bug?Horace Chan, Mame Maria Mbaye, and Sim Ang2022Papery2022paper
Is It a Software Bug? It Is a Hardware Bug?Horace Chan, Maria Mbaye, and Sim Ang2022Presentationy2022presentation
Is Power State Table (PST) Golden?Ankush Bagotra, Neha Bajaj, and Harsha Vardhan2012Presentationy2012presentation
Is Power State Table Golden?Harsha Vardhan, Ankush Bagotra, and Neha Bajaj2012Papery2012paper
Is Specman Still Relevant? Using UVM-ML to Take Advantage of Multiple Verification LanguagesTimothy Pertuit, David Lacey, and Doug Gibson2018Postery2018poster
Is Specman Still Relevant? Using UVM-ML to Take Advantage of Multiple Verification LanguagesTimothy Pertuit, Doug Gibson, and David Lacey2018Papery2018paper
Is the simulator behavior wrong for my SystemVerilog code?Weihua Han2017Papery2017paper
Is The Simulator Behavior Wrong With My SystemVerilog CodeWeihua Han2017Presentationy2017presentation
Is Your Hardware Dependable?DARPA, AMD, Arm Research, and Synopsys2022Presentationy2022presentation
Is your Power Aware design really x-aware?Durgesh Prasad and Jitesh Bansal2014Papery2014paper
Is your Power Aware design really x-aware?Durgesh Prasad and Jitesh Bansal2014Postery2014poster
Is Your System’s Security preserved? Verification of Security IP integrationPredrag Nikolic2023Papery2023paper
Is Your System’s Security preserved? Verification of Security IP integrationPredrag Nikolic2023Presentationy2023presentation
ISO 26262 Dependent Failure Analysis using PSSMoonki Jang, Jiwoong Kim, and Dongjoo Kim2020Papery2020paper
ISO 26262 Dependent Failure Analysis Using PSSMoonki Jang2020Presentationy2020presentation
It Should Just Work! Tips and Tricks for Creating Flexible, Vendor Agnostic Analog Behavioral ModelsChuck McClish2020Presentationy2020presentation
It’s Not Too Late to Adopt: The Full Power of UVMKathleen Wittmann2023Presentationy2023presentation
It’s Been 24 Hours –Should I Kill My Formal Run?Mark Eslinger, Jin Hou, Joe Hupcey III, and Jeremy Levitt2019Presentationy2019presentation
It’s Not Too Late to Adopt: The Full Power of UVMKathleen Wittmann2023Papery2023paper
JESD204B Deterministic Latency Verification with UVM Constrained Random ApproachesGirish Nadiger and Ashok Chandran2016Postery2016poster
JESD204B Deterministic Latency Verification with UVM Constrained Random ApproachesGirish Nadiger and Ashok Chandran2016Papery2016paper
Jump start your RISCV project with OpenHWMike Thompson, Jingliang (Leo) Wang, Steve Richmond, Lee Moore, David McConnell, Greg Tumbush2021Papery2021paper
Jump-Start Portable Stimulus Test Creation with SystemVerilog ReuseMatthew Ballance2016Papery2016paper
Jump-Start Portable Stimulus Test Creation with SystemVerilog ReuseMatthew Ballance2016Postery2016poster
Jump-Start Software-Driven Hardware Verification with a Verification FrameworkMatthew Ballance2015Papery2015paper
Jump-Start Software-Driven Hardware Verification with a Verification FrameworkMatthew Ballance2015Postery2015poster
Just do it! Who cares if a Structural Analysis tool is using Formal VerificationScott Aron Bloom2018Presentationy2018presentation
Keeping Score, Part 1 of 2 – Architectural Considerations for Scoreboard DesignGordon Allan2012Papery2012paper
Keeping Up with Chip — the Proposed SystemVerilog 2012 Standard Makes Verifying Ever-increasing Design Complexity More EfficientStuart Sutherland and Tom Fitzpatrick2012Papery2012paper
Keeping Up with Chip — the Proposed SystemVerilog 2012 Standard Makes Verifying Ever-increasing Design Complexity More EfficientStuart Sutherland2012Presentationy2012presentation
Keeping Your Sequences RelevantNicholas Zicha and Eric Combes2017Papery2017paper
Key Gochas in implementing CDC for various Bus ProtocolsNikita Gulliya, Mukesh Kumar Singh, and Abhishek Bora2020Papery2020paper
Key Gochas in implementing CDC for various Bus ProtocolsNikita Gulliya, Mukesh Kumar Singh, and Abhishek Bora2020Postery2020poster
Lay it On Me: Creating Layered ConstraintsBryan Morris2021Papery2021paper
Leaping Left: Seamless IP to SoC Hand offSwetha Thiagarajan, Rashika Madan, Hiran Morar, and Sangeivi Sivagnanasundaram2022Presentationy2022presentation
Leaping Left: Seamless IP to SoC Hand-offSwetha Thiagarajan, Rashika Madan, Hiran Morar, and Sangeivi Sivagnanasundaram2022Papery2022paper
Learning From Advanced Hardware Verification for Hardware Dependent SoftwareSimond Davidmann and Duncan Graham2014Papery2014paper
Left Shift Mechanism to Mitigate Gate Level Asynchronous Design ChallengesKotha Kavya and Sinha Rohit Kumar2022Postery2022poster
Left Shift Mechanism to Mitigate Gate Level Asynchronous Design ChallengesRohit Kumar Sinha and Kavya Kotha2022Papery2022paper
Lessons from the field – IP/SoC integration techniques that workDavid Murray and Sean Boylan2013Papery2013paper
Lessons from the field IP/SoC integration techniques that workDavid Murray2013Presentationy2013presentation
Let’s be Formal While Talking About Verification Quality: A Novel Approach to Qualify Assertion Based VIPsSachin Scaria, Surinder Sood, and Erik Seligman2018Papery2018paper
Let’s DisCOVER Power StatesPankaj Kumar Dwivedi, Amit Srivastava, and Veeresh Vikram Singh2015Papery2015paper
Lets be Formal While Talking About Verification Quality: A Novel Approach Of Qualifying Assertion Based IPsSurinder Sood, Sachin Scaria, and Erik Seligman2018Presentationy2018presentation
Lets disCOVER Power StatesPankaj Kumar Dwivedi, Amit Srivastava, and Veeresh Vikram Singh2015Postery2015poster
Leveraging Formal to Verify SoC Register MapAbdul Elaydi and Jose Barandiaran2014Presentationy2014presentation
Leveraging Formal to Verify SoC Register MapAbdul Elaydi and Jose Barandiaran2014Papery2014paper
Leveraging IP-XACT standardized IP interfaces for rapid IP integrationDavid Murray and Simon Rance2014Papery2014paper
Leveraging IP-XACT Standardized IP Interfaces for Rapid IP IntegrationDavid Murray2014Presentationy2014presentation
Leveraging more from GLS: Using metric driven GLS stimuli to boost timing verificationSowmya Ega, Richardson Jeyapaul, and Kunal Jani2018Presentationy2018presentation
Leveraging more from GLS: Using metric driven GLS stimuli to boost Timing VerificationSowmya Ega, Richardson Jeyapaul, and Kunal Jani2018Papery2018paper
Leveraging UVM-based Low Power Package Library to SOC DesignsShikhadevi Katheriya, Avnita Pal, Puranapanda Sastry2023Postery2023poster
Leveraging UVM-based Low Power Package Library to SOC DesignsShikhadevi Katheriya, Avnita Pal, Puranapanda Sastry2023Papery2023paper
Leveraging Virtual Platform ESL and TLM SystemVerification in RTL using UVMAshok Mehta, Albert Chiang, and Wei-Hua Han2012Papery2012paper
Lies, Damned Lies, and CoverageMark Litterick2015Papery2015paper
Lies, Damned Lies, and CoverageMark Litterick2015Presentationy2015presentation
Low Power Apps (Shaping the Future of Low Power Verification)Awashesh Kumar, Madhur Bhargava, Vinay Kumar Singh, and Pankaj Gairola2018Presentationy2018presentation
Low Power Apps: Shaping the Future of Low Power VerificationAwashesh Kumar, Madhur Bhargava, Vinay Singh, and Pankaj Gairola2018Papery2018paper
Low Power Coverage: The Missing Piece in Dynamic SimulationProgyna Khondkar, Gabriel Chidolue, and Ping Yeung2018Papery2018paper
Low Power Coverage: The Missing Piece in Dynamic SimulationProgyna Khondkar2018Presentationy2018presentation
Low Power SoC Verification: IP Reuse and Hierarchical Composition using UPFAmit Srivastava, Rudra Mukherjee, Erich Marschner, and Chuck Seeley2012Papery2012paper
Low Power SoC Verification: IP Reuse and Hierarchical Composition using UPFAmit Srivastava, Rudra Mukherjee, Erich Marschner, and Chuck Seeley2012Presentationy2012presentation
Low Power Static Verification- Beyond Linting and Corruption SemanticsKaustav Guha , Ankush Bagotra, and Neha Bajaj2011Papery2011paper
Low Power Verification with LDOShang-Wei Tu and Amol Herlekar2016Postery2016poster
Low Power Verification With LDOShang-Wei Tu, Amol Herlekar, and Yu-Juei Chen2016Papery2016paper
Low Power Verification with UPF: Principle and PracticeJianfeng Liu, Mi-Sook Hong, Bong Hyun Lee JungYun Choi, HyoSig Won, Kyu-Myung Choi, Harsha Vardhan, and Aditya Kher2010Papery2010paper
Low-Power Verification at Gate Level for Zen Microprocessor CoreBaosheng Wang, Keerthi Mullangi, Raluca Stan, and Diana Irimia2020Presentationy2020presentation
Low-Power Verification Automation – A Practical ApproachShaji Kunjumohamed and Hendy Kosasih2013Papery2013paper
LOW-POWER VERIFICATION AUTOMATION: A PRACTICAL APPROACHShaji K. Kunjumohamed and Hendy Kosasih2013Postery2013poster
Machine Learning based PVT Space Coverage and Worst Case Exploration In Analog and Mixed-Signal Design VerificationH. Lin, Z. Ye, and A. M. Khan2017Papery2017paper
Machine Learning based PVT Space Coverage and Worst Case Exploration In Analog and Mixed-Signal Design VerificationHonghuang Lin, Zhipeng Ye, and Asad Khan2017Presentationy2017presentation
Machine Learning Based Verification Planning Methodology Using Design and Verification DataHanna Jang, Seonghee Yim2022Presentationy2022presentation
Machine Learning Based Verification Planning Methodology Using Design and Verification DataHanna Jang, Seonghee Yim, Sunchang Choi, and Seonil Brian Choi2022Papery2022paper
Machine Learning Driven Verification A Step Function in Productivity and ThroughputDaniel Hansson, John Rose, and Matt Graham2022Presentationy2022presentation
Machine Learning-Guided Stimulus Generation for Functional VerificationS. Gogri, J. Hu, A. Tyagi, M. Quinn S. Ramachandran, F. Batool, and A. Jagadeesh2020Presentationy2020presentation
Machine Learning-Guided Stimulus Generation for Functional VerificationSaumil Gogri, Jiang Hu, Aakash Tyagi, Mike Quinn, Swati Ramachandran, Fazia Batool, and Amrutha Jagadeesh2020Papery2020paper
Making Autonomous Cars Safer – One chip at a timeApurva Kalia and Ann Keffer2018Presentationy2018presentation
Making Formal Property Verification Mainstream: An Intel Graphics ExperienceM Achutha KiranKumar V, Erik Seligman, Aarti Gupta, Ss Bindumadhava, and Abhijith Bharadwaj2017Papery2017paper
Making Formal Property Verification Mainstream: An Intel® Graphics ExperienceM Achutha Kiran, Kumar V., Erik Seligman, Aarti Gupta, Bindumadhava S. S., and Abhijith A. Bharadwaj2017Presentationy2017presentation
Making Legacy Portable with the Portable Stimulus SpecificationMatthew Ballance2017Presentationy2017presentation
Making Legacy Portable with the Portable Stimulus SpecificationMatthew Ballance2017Papery2017paper
Making RAL Jump, an IntrospectionJeremy Ridgeway, Karishma Dhruv, and Manmohan Singh2014Papery2014paper
Making RAL Jump, an IntrospectionJeremy Ridgeway, Karishma Dhruv, and Manmohan Singh2014Postery2014poster
Making Security Verification “SECURE”Subin Thykkoottathil and Nagesh Ranganath2018Papery2018paper
Making Security Verification “SECURE”NAGESH RANGANATH and SUBIN THYKKOOTTATHIL2018Postery2018poster
Making Your DPI-C Interface a Fast River of DataRich Edelman2021Papery2021paper
MANAGING AND AUTOMATING HW/SW TESTS FROM IP TO SOCMatthew Ballance2018Papery2018paper
Managing and Automating Hw/Sw Tests from IP to SoCMatthew Ballance2018Postery2018poster
Managing Highly Configurable Design and VerificationJeremy Ridgeway2018Presentationy2018presentation
Managing Highly Configurable Design and VerificationJ. Ridgeway2018Papery2018paper
Marrying Simulation and Formal Made Easier!Lun Li, Durga Rangarajan, Christopher Starr, and James Greene2016Papery2016paper
Matrix Math package for VHDLDavid W. Bishop2015Papery2015paper
Matrix Math package for VHDLDavid W. Bishop2015Postery2015poster
Maximize Vertical Reuse, Building Module to System Verification Environments with UVM eHorace Chan, Brian Vandegriend, Deepali Joshi, and Corey Goss2013Papery2013paper
Maximize Vertical Reuse, Building Module to System Verification Environments with UVMeHorace Chan, Brian Vandegriend, Deepali Joshi, and Corey Goss2013Postery2013poster
Maximizing Formal ROI through Accelerated IP Verification Sign-offScott Peverelle, Hao Chen, Kamakshi Sarat Vallabhapurapu, Rosanna Yee, Hee Chul Kim, Johann Te, and Jacob Hotz2022Presentationy2022presentation
Maximizing Formal ROI through Accelerated IP Verification Sign-offHao Chen, Kamakshi Sarat Vallabhapurapu, Scott Peverelle, Rosanna Yee, Hee Chul Kim, Johann Te, and Jacob Hotz2022Papery2022paper
May the powers be with you! – Unleashing powerful new features in UPF IEEE 1801Srinivasan Venkataramanan and Ajeetha Kumari2020Presentationy2020presentation
Mechanism to Generate FIFO VC Dependency Graph and Its Application to System Level Deadlock VerificationDebarshi Chatterjee, Chad Parsons, Siddhanth Dhodhi2021Papery2021paper
Media Performance Validation in Emulation and Post Silicon Using Portable Stimulus StandardSuresh Vasu, Nithin Venkatesh, Joydeep Maitra2021Papery2021paper
Melioration of Enhanced Low Power Memory Retention and Low Power Feature Validation Using API’sNithin Venkatesh, Akula Hareesh2021Papery2021paper
Melting Verification Pot: Integrating RVM/VMM and UVM, a Practical Guide and Lessons Learned.Mark A. Azadpour2012Papery2012paper
Melting Verification Pot: Integrating RVM/VMM and UVM, a Practical Guide and Lessons Learned.Mark Azadpour2012Presentationy2012presentation
Memory Debugging of Virtual PlatformsGeorge F. Frazier, Neeti Bhatnagar, Qizhang Chao, and Kathy Lang2012Presentationy2012presentation
Memory Debugging of Virtual Prototypes with TLM 2.0George F. Frazier, Qizhang Chao, Neeti Bhatnagar, and Kathy Lang2012Papery2012paper
Memory Subsystem Verification – Can it be taken for granted?Shivani Upasani2013Presentationy2013presentation
Memory Subsystem Verification: Can it be taken for granted?Shivani Upasani and Prashanth Srinivasa2013Papery2013paper
Meta Design FrameworkSanjeev Singh and Jonathan Sadowsky2015Postery2015poster
Meta Design Framework: Building Designs ProgrammaticallySanjeev Singh and Jonathan Sadowsky2015Papery2015paper
Metadata Based Testbench GenerationDaeseo Cha, Soonoh Kwon, and Ahhyung Shin2022Presentationy2022presentation
Metadata Based Testbench Generation AutomationDaeseo Cha, Soonoh Kwon, Ahhyung Shin, Youngnam Youn, Youngsik Kim, and Seonil Brian Choi2022Papery2022paper
Method for Generating Unique Coverage Classes to Enable Meaningful Covergroup Merges Across TestbenchesEldon Nelson, MS, PE2015Papery2015paper
Method for Generating Unique Coverage Classes to Enable Meaningful Covergroup Merges Across TestbenchesEldon Nelson, M.S., P.E.2015Presentationy2015presentation
Methodology for automating coverage-driven interrupt testing of instruction setsDavid McConnell, Greg Tumbush2021Papery2021paper
Methodology for Separation of Design Concerns Using Conservative RTL Flipflop InferenceMaya H. Safieddine, Fadi A. Zaraket, and Rouwaida Kanj2015Presentationy2015presentation
Methodology for Separation of Design Concerns Using Conservative RTL Flipflop InferenceMaya H. Safieddine, Fadi A. Zaraket, and Rouwaida Kanj2015Papery2015paper
Metric Driven Mixed-Signal Verification Methodology and Practices for Complex Mixed-signal ASSPsFrank Yang, Andy Sha, Morton Zhao, and Yanping Sha2014Papery2014paper
Metric Driven Mixed-Signal Verification Methodology and Practices for Complex Mixed-signal ASSPsFrank Yang, Andy Sha, Morton Zhao, and Yanping Sha2014Postery2014poster
Metric Driven Verification of Mixed-Signal DesignsNeyaz Khan, Yaron Kashai, and Hao Fang2011Papery2011paper
Metrics Driven Sign-off for SoC Specific Logic (SSL) Using Formal TechniquesAbhinav Gaur, Gaurav Jain, Ruchi Singh2021Papery2021paper
Metrics in SoC VerificationAndreas Meyer and Harry Foster2012Papery2012paper
Micro-processor verification using a C++11 sequence-based stimulus engine.Stephan Bourduas and Chris Mikulis2017Papery2017paper
Micro-processor verification using a C++11 sequence-based stimulus engine.Stephan Bourduas and Chris Mikulis2017Presentationy2017presentation
Migrating from OVM to UVM The Definitive GuideAdiel Khan2013Presentationy2013presentation
Migrating from UVM to UVM-AMSTom Fitzpatrick, Abhijit Madhu Kumar2023Presentationy2023presentation
Migrating to UVM : Conquering LegacySantosh Sarma, Amit Sharma, and Adiel Khan2013Papery2013paper
Mind the Gap(s): Creating & Closing Gaps Between Design and VerificationChris Giles and Kurt Takara2020Presentationy2020presentation
Mining Coverage Data for Test Set Coverage EfficiencyMonica Farkash, Bryan Hickerson, Mike Behm, and Balavinayagam Samynathan2015Papery2015paper
Mining Coverage Data for Test Set Coverage EfficiencyBryan Hickerson, Mike Behm, Balavinayagam Samynathan, and Monica Farkash2015Presentationy2015presentation
Mixed Signal Assertion-Based VerificationPrabal Bhattacharya, Don O’Riordan, and Walter Hartong2011Papery2011paper
Mixed Signal Design Verification: Leveraging the Best of AMS and DMSRock Z. Shi, Padmashree Bhinge, Preston Birdsong, Geeta Chaitanya, and Kunal Jani2022Presentationy2022presentation
Mixed Signal Functional Verification Methodology for Embedded Non-Volatile Memory Using ESP SimulationS. Do, J. Park, D. Kim, and J. Jang2022Presentationy2022presentation
Mixed Signal Verification of a Voltage Regulator using a State Space Approach and the SV-DC extensionsRajat Mitra2016Papery2016paper
Mixed Signal Verification of Dynamic Adaptive Power Management in Low Power SoCNeyaz Khan2010Papery2010paper
Mixed Signal Verification of UPF based designs A Practical ExampleAndrew Milne and Damian Roberts2015Papery2015paper
Mixed Signal Verification of UPF based designs A Practical ExampleAndrew Milne and Damian Roberts2015Presentationy2015presentation
Mixed-abstraction Modeling Approach with Fault Injection for Hardware-Firmware Co-design and Functional Co-verification of an Automotive Airbag System on Chip ProductThang Nguyen, Dipl.-Ing.-Dr. and Dieter Haerle, Dipl.-Ing.2013Presentationy2013presentation
Mixed-abstraction Modeling Approach with Fault Injection for Hardware-Firmware Co-design and Functional Co-verification of an Automotive Airbag System on Chip ProductDipl.-Ing. Dr.-techn. Thang Nguyen, Dipl.-Ing. and Dieter Haerle2013Papery2013paper
Mixed-Signal Design Verification: Leveraging the Best of AMS and DMSRock Z. Shi, Padmashree Bhinge, Preston Birdsong, Geeta Chaitanya, and Kunal Jani2022Papery2022paper
Mixed-Signal Functional Verification Methodology for Embedded Non-Volatile Memory Using ESP SimulationS. Do, J. Park, D. Kim, and J. Jang2022Papery2022paper
Mixed-Signal Systems-on-Chip Design Verification With Automatic Real-Number AbstractionNancy Qiu, Frank Yang, and Himadri De2016Papery2016paper
Mixed-Signal Verification Methodology to Verify Type-C USBVarun R, Vinayak Hegde, and Somasunder Kattepura Sreenath2017Papery2017paper
Mixed-Signal Verification Methodology to Verify USB Type-CVarun R, Vinayak Hegde ans Somasunder Kattepura Sreenath2017Postery2017poster
ML-Based Verification and Regression AutomationAbhishek Chauhan, Asif Ahmad2021Papery2021paper
Modeling a Hierarchical Register Scheme with UVMJoshua Hardy2017Papery2017paper
Modeling a Hierarchical Register Scheme with UVMJoshua Hardy2017Presentationy2017presentation
Modeling Analog Devices Using SV-RNMMariam Maurice2022Postery2022poster
Modeling Analog Devices using SV-RNMMariam Maurice2022Papery2022paper
Modeling Analog Systems Using Full Digital Simulations (A State Space Approach)Rajat K Mitra 2016Presentationy2016presentation
Modeling Memory Coherency During Concurrent/Simultaneous AccessesSubramoni Parameswaran2022Papery2022paper
Modeling Memory Coherency for concurrent/parallel accessesSubramoni Parameswaran2022Presentationy2022presentation
Modeling Memory Coherency for Concurrent/Parallel AccessesSubramoni Parameswaran2022Presentationy2022presentation
Molding Functional Coverage for Highly Configurable IPJ. Ridgeway, K. Chaturvedula, and K. Dhruv2016Papery2016paper
Molding Functional Coverage for Highly Configurable IPJeremy Ridgeway, Kavitha Chaturvedula, and Karishma Dhruv2016Postery2016poster
Monitors, Monitors Everywhere – Who Is Monitoring the MonitorsRich Edelman and Raghu Ardeishar2013Papery2013paper
Monitors, Monitors Everywhere …Rich Edelman and Raghu Ardeishar2013Postery2013poster
Moving beyond Assertions: An Innovative approach to Low-Power Checking using UPF Tcl AppsMadhur Bhargava2019Postery2019poster
MS-SoC Best Practices – Advanced Modeling & Verification Techniques for first-pass successNeyaz Khan, Greg Glennon, and Dan Romaine2013Papery2013paper
Multi-Domain Verification: When Clock, Power and Reset Domains CollidePing Yeung, Erich Marschner, and Kaowen Liu2015Papery2015paper
Multi-Domain Verification: When Clock, Power and Reset Domains CollidePing Yeung, Erich Marschner, and Kaowen Liu2015Presentationy2015presentation
Multi-Language Verification: Solutions for Real World ProblemsBryan Sniderman and Vitaly Yankelevich2014Papery2014paper
Multi-Language Verification: Solutions for Real World ProblemsBryan Sniderman and Vitaly Yankelevich2014Presentationy2014presentation
Multimedia IP DMA verification platformSuhyung Kim, Sangkyu Park, Myungwoo Seo, Sangjin Lee, and Jiyeon Park2020Postery2020poster
Multimedia IP DMA verification platformSuhyung Kim, Sangkyu Park, Myungwoo Seo, Sangjin Lee, and Jiyeon Park2020Papery2020paper
Multiplier-Adder-Converter Linear Piecewise Approximation for Low Power Graphics ApplicationsDina M. Ellaithy, Magdy A. El-Moursy, Amal Zaki, and Abdelhalim Zekry2019Postery2019poster
Multithreading a UVM Testbench for Faster SimulationBenjamin Applequist, Vijayakrishnan Rousseau, Andrew Tan, and Jayasrinivas Sesham2020Presentationy2020presentation
My Testbench Used to Break! Now it Bends (Adapting to Changing Design Configurations)Jeff Vance, Jeff Montesano, Kevin Vasconcellos, and Kevin Johnston2018Presentationy2018presentation
My Testbench Used to Break! Now it Bends: Adapting to Changing Design ConfigurationsJeff Vance, Jeff Montesano, Kevin Vasconcellos, and Kevin Johnston2018Papery2018paper
Navigating The Functional Coverage Black Hole: Be More Effective At Functional Coverage ModelingJason Sprott, Paul Marriott, and Matt Graham2015Papery2015paper
Navigating The Functional Coverage Black Hole: Be More Effective At Functional Coverage ModelingJason Sprott, Paul Marriott, and Matt Graham2015Presentationy2015presentation
Never Too Late With Formal: Stepwise Guide for Applying Formal Verification in Post-Silicon Phase to Avoid Re-SpinsAnshul Jain, Aarti Gupta, Achutha KiranKumar V M, Bindumadhava Ss, Shivakumar S Kolar, and Siva Gadey NV2022Papery2022paper
Never Too Late with Formal: Stepwise Guide for Applying FV in Post Si Phase to Avoid Re-SpinsAnshul Jain, Aarti Gupta, Achutha KiranKumar V M, Bindumadhava Ss, Shivakumar S Kolar, Siva Gadey NV2022Presentationy2022presentation
New and active ways to bind to your designKaiming Ho2013Presentationy2013presentation
New and Active Ways to Bind to Your DesignsKaiming Ho2013Papery2013paper
New Challenges in Verification of Mixed-Signal IP and SoC DesignLuke Lang and Christina Chu2012Papery2012paper
New Constrained Random and Metric-Driven Verification Methodology using PythonMarek Cieplucha and Witold A. Pleskacz2017Papery2017paper
New Constrained Random and Metric-Driven Verification Methodology using PythonMarek Ciepłucha and Witold Pleskacz2017Presentationy2017presentation
Next Frontier in Formal VerificationPing Yeung, Rajesh Rathi, Vaibhav Kumar, Puneet Anand, Ravindra Aneja2023Presentationy2023presentation
Next Gen System Design and Verification for TransportationDavid Aerne, Jacob Wiltgen, and Richard Pugh2019Presentationy2019presentation
Next Generation Verification for the Era of AI/ML and 5GFrank Schirrmeister, Pete Hardee, Larry Melling, Amit Dua, and Moshik Rubin2020Presentationy2020presentation
Next-generation Power Aware CDC Verification – What have we learned?Kurt Takara, Chris Kwok, Naman Jain, and Ashish Hari2015Papery2015paper
Next-generation Power Aware CDC Verification What have we learned?Kurt Takara, Chris Kwok, Naman Jain, and Ashish Hari2015Postery2015poster
No RTL Yet? No Problem UVM Testing a SystemVerilog Fabric ModelRich Edelman2016Presentationy2016presentation
No RTL Yet? No Problem UVM Testing a SystemVerilog Fabric ModelRich Edelman2016Papery2016paper
Not Just for Hardware Debug: Prototype Debuggers for System Validation and OptimizationMichael Sachtjen and Joe Gaubatz2015Papery2015paper
NOT JUST FOR HARDWARE DEBUG: PROTOTYPE DEBUGGERS FOR SYSTEM VALIDATION AND OPTIMIZATIONMichael Sachtjen and Joe Gaubatz2015Postery2015poster
Novel Approach to ASIC PrototypingMohamed Saheel, Suresh Balasubramanian, and Vijayakrishnan Rousseau2019Postery2019poster
Novel Approaches for C vs. RTL Formal Verification of Vertex Attribute Address Generator UnitNianchen Wu, Christopher Starr, and Xiushan Feng2020Presentationy2020presentation
Novel GUI Based UVM Test Bench Template BuilderVignesh Manoharan2022Postery2022poster
Novel GUI Based UVM Test Bench Template BuilderVignesh Manoharan2022Papery2022paper
Novel Mixed Signal Verification Methodology Using Complex UDNsRakesh Dama, Ravi Reddy, and Andy Vitek2019Presentationy2019presentation
Novel Mixed Signal Verification Methodology using complex UDNsRakesh Dama, Ravi Reddy, and Andy Vitek2019Papery2019paper
Novel Paradigm in Formally Verifying Complex AlgorithmsM Achutha KiranKumar V, Disha Puri, Mohit Choradia, Paras Gupta2021Papery2021paper
Novel Test Case Design Techniques for Logical Specifications of Safety Critical Systems Software in Aerial VehicleLakshmi KVNS and Sanjeev Kumar2017Papery2017paper
Novel Verification Techniques for ARM A15 Multi-core Subsystem Using IEEE 1647Vaibhav Mahimkar, Akshit Dayal, Tomas Huynh, Van Huynh, and Erwin Hermanto.2014Papery2014paper
Novel Verification Techniques for ARM A15 Multi-core Subsystem Using IEEE 1647Vaibhav Mahimkar, Akshit Dayal, Tomas Huynh, Van Huynh, and Erwin Hermanto2014Postery2014poster
Novelty-Driven Verification: Using Machine Learning to Identify Novel Stimuli and Close CoverageTim Blackmore, Rhys Hodson, Sebastian Schaal2021Papery2021paper
NVMe Development and Debug for a 16 x Multicore SystemSoummya Mallick, Raj Mathur, Arindam Guha, and Frank Schirrmeister2019Papery2019paper
Of Camels and CommitteesTom Fitzpatrick and Dave Rich2014Papery2014paper
Of Camels and Committees: Standardization Should Enable Innovation, Not Strangle ItTom Fitzpatrick and Dave Rich2014Presentationy2014presentation
Off To The Races With Your Accelerated SystemVerilog Testbench (A Methodology for Hardware-Assisted Acceleration of SystemVerilog Testbenches)Hans van der Schoot, Anoop Saha, Ankit Garg, and Krishnamurthy Suresh2011Papery2011paper
On Verification Coverage Metrics in Formal Verification and Speeding Verification Closure with UCIS Coverage Interoperability StandardRajeev Ranjan, PhD, Ross Weber, and Ziyad Hanna, PhD2013Papery2013paper
One Compile to Rule Them All: An Elegant Solution for OVM/UVM Testbench TopologiesGalen Blake and Steve Chappell2013Papery2013paper
One Compile to Rule them All: An elegant solution for OVM/UVM Testbench TopologiesGalen Blake and Steve Chappell2013Presentationy2013presentation
One Stop Solution for DFT Register Modelling in UVMRui Huang2017Papery2017paper
One Stop Solution of DFT Register Modelling in UVMRui Huang2017Presentationy2017presentation
Open-source Framework for Co-emulation using PYNQIoana-Cătălina Cristea, Dragoș Dospinescu2021Papery2021paper
Optimal Usage of the Computer Farm for Regression TestingDaniel Hansson and Patrik Granath2016Papery2016paper
Optimal Usage of the Computer Farm for Regression TestingDaniel Hansson and Patrik Granath2016Presentationy2016presentation
Optimizing Area and Power Using Formal MethodAlan Carlin, Chris Komar Cadence, and Anuj Singhania2011Papery2011paper
Optimizing Random Test Constraints Using Machine Learning AlgorithmsStan Sokorac2017Papery2017paper
Optimizing Random Test Constraints Using Machine Learning AlgorithmsStan Sokorac2017Presentationy2017presentation
Optimizing Turnaround Times In A CI Flow Using a Scheduler ImplementationRobert Strong2022Presentationy2022presentation
Optimizing Turnaround Times in a Continuous Integration Flow Using a Scheduler Based ImplementationRobert Strong2022Papery2022paper
OS aware IP Development MethodologyHyunjae Woo, Woojoo Kim, Youngsik Kim, and Seonil Brian Choi2019Presentationy2019presentation
OS-aware IP Development MethodologyHyunjae Woo, Woojoo Kim, Youngsik Kim, and Seonil Brian Choi2019Papery2019paper
OS-aware Performance and Power Analysis MethodologyHyunjae Woo, HojinJo, Woojoo Kim, and Seonil Brian Choi2020Presentationy2020presentation
Overcoming AXI Asynchronous Bridge Verification Challenges with AXI Assertion-Based Verification IP (ABVIP) and Formal Datapath ScoreboardsBochra El-Meray and Jörg Müller2013Presentationy2013presentation
Overcoming AXI Asynchronous Bridge Verification Challenges with AXI Assertion-Based Verification IP (ABVIP) and Formal Datapath ScoreboardsBochra Elmaray and Joerg Mueller2013Papery2013paper
OVM & UVM Techniques for On-the-fly ResetMuralidhara Ramalingaiah and Boobalan Anantharaman2012Papery2012paper
OVM & UVM Techniques for On-the-fly ResetMuralidhara Ramalingaiah and Boobalan Anantharaman2012Presentationy2012presentation
OVM & UVM Techniques for Terminating TestsClifford E. Cummings and Tom Fitzpatrick2011Papery2011paper
OVM TO UVM DEFINITIVE GUIDE PART 1Adiel Khan, Justin Refice, and Warren Stapleton2013Papery2013paper
PA-APIs: Looking beyond power intent specification formatsAmit Srivastava and Awashesh Kumar2015Papery2015paper
PA-APIs: Looking beyond power intent specification formatsAmit Srivastava and Awashesh Kumar2015Postery2015poster
Panning for Gold in RTL Using TransactionsRich Edelman, Raghu Ardeishar, Akshay Sarup, and Suman Kasam2011Papery2011paper
Parallel Computing for Functional Verification and Compute Farms: The Holy MatrimonyAmit Sharma, Shekhar Basavanna, and Srinivasan Venkataramanan2011Papery2011paper
Parameter Passing From SystemVerilog to SystemCBishnupriya Bhattacharya, Samik Das, Zhiting Duan, Chandra Sekhar Katuri, and Pradipta Laha2015Presentationy2015presentation
Parameter Passing from SystemVerilog to SystemC for Highly Configurable Mixed-Language DesignsBishnupriya Bhattacharya, Samik Das, Zhiting Duan, Chandra Sekhar Katuri, and Pradipta Laha2015Papery2015paper
Parameterize Like a Pro: Handling Parameterized RTL in your UVM TestbenchJeff Montesano2020Presentationy2020presentation
Parameterized and Re-usable Jitter Model for Serial and Parallel InterfacesAmlan Chakrabarti and Malathi Chikkanna2015Papery2015paper
Parameterized and Re-usable Jitter Model for Serial and Parallel InterfacesMalathi Chikkanna and Amlan Chakrabarti2015Presentationy2015presentation
Parameters and OVM — Can’t They Just Get Along?Bryan Ramirez and Michael Horn2011Papery2011paper
Parameters, UVM, Coverage & Emulation – Take Two and Call Me in the MorningMichael Horn, Bryan Ramirez, and Hans van der Schoot2016Papery2016paper
Path-based UPF Strategies: Optimally Manage Power on your DesignsProgyna Khondkar2022Presentationy2022presentation
Path-Based UPF Strategies: Optimally Manage Power on Your DesignsProgyna Khondkar2022Papery2022paper
Pay Me Now or Pay Me Later Exploring the Implementation and Analysis Cost Trade-Offs of Coverage Model DesignPaul Graykowski and Andrew Piziali2011Papery2011paper
Plan & Metric Driven Mixed-Signal Verification for Medical DevicesGregg Sarkinen2011Papery2011paper
Plugging the Holes: SystemC and VHDL Functional Coverage MethodologyPankaj Singh and Gaurav Kumar Verma2011Papery2011paper
Portable Stimulus Driven SystemVerilog/UVM verification environment for the verification of a high-capacity Ethernet communication bridgeAndrei Vintila and Ionut Tolea2019Papery2019paper
Portable Stimulus Driven SystemVerilog/UVM verification environment for the verification of a high-capacity Ethernet communication bridgeAndrei Vintila and Ionut Tolea2019Presentationy2019presentation
Portable Stimulus Models for C/SystemC, UVM and EmulationMike Andrews and Boris Hristov2015Papery2015paper
Portable Stimulus Models for C/SystemC, UVM and EmulationMike Andrews and Boris Hristov2015Presentationy2015presentation
Portable Stimulus Standard Update: PSS in the Real WorldAccellera Portable Stimulus Working Group2022Presentationy2022presentation
Portable Stimulus Standard: The Promises and Pitfalls of Early AdoptionMike Bartley2019Presentationy2019presentation
Portable Stimulus Standard’s Hardware Software Interface (HSI) to validate 4G 5G Forward Error Correction Encoder/Decoder IP in Emulation SiliconJoydeep Maitra, Suresh Vasu, Nithin Venkatesh, Suhas Reddy, Luis Campos, Vinit Shenoy2022Presentationy2022presentation
Portable Stimulus vs Formal vs UVM: A Comparative Analysis of Verification Methodologies Throughout the Life of an IP BlockGaurav Bhatnagar2018Presentationy2018presentation
Portable Stimulus vs Formal vs UVM: A Comparative Analysis of Verification Methodologies Throughout the Life of an IP BlockGaurav Bhatnagar and David Brownell2018Papery2018paper
Portable Stimulus: What’s Coming in 1.1 and What it Means For YouPortable Stimulus Working Group2020Presentationy2020presentation
Portable Test and Stimulus: The Next Level of Verification Productivity is HereAccellera Portable Stimulus Working Group2018Presentationy2018presentation
Post Silicon Performance Validation Using PSSDayoung Kim, Jaehun Lee, Daeseo Cha, Phu L. Huynh, and Jake Kim2020Presentationy2020presentation
Post-Silicon Performance Validation Using PSSDayoung Kim, Jaehun Lee, and Daeseo Cha2020Papery2020paper
Power Aware CDC Analysis at Top Level Using SOC Abstract FlowVenkatesh Ranga and Pramod Rajan K S2017Papery2017paper
Power Aware CDC Analysis at Top Level Using SOC Abstract FlowVenkatesh Ranga and Pramod Rajan K S2017Postery2017poster
Power Aware Verification Strategy for SoCsBoobalan Anantharaman and Arunkumar Narayanamurthy2013Papery2013paper
Power Aware Verification Strategy for SoCsBoobalan Anantharaman and Arunkumar Narayanamurthy2013Presentationy2013presentation
Power estimation – what to expect what not to expectPrakash Parikh2014Presentationy2014presentation
Power Estimation Techniques – what to expect, what not to expectPrakash Parikh2014Papery2014paper
Power Management Verification for SOC ICsDavid Akselrod, Alex Miretsky, Sergey Golubkov, Pearl Liu, Afshin Parvaresh, Shu Wang, and Feng Yan2016Papery2016paper
Power Management Verification for SoC ICsDavid Akselrod, Alex Miretsky, Sergey Golubkov, Pearl Liu, Afshin Parvaresh, Shu Wang, and Feng Yan2016Postery2016poster
Power models & Terminal Boundary: Get your IP Ready for Low PowerProgyna K., William W., Phil G., Brandon S.2023Presentationy2023presentation
Power Models and Terminal Boundary: Get your IP Ready for Low PowerProgyna Khondkar, William Winkeler, Phil Giangarra and Brandon Skaggs2023Papery2023paper
Power State to PST Conversion: Simplifying static analysis and debugging of power aware designsMadhur Bhargava and Pankaj Gairola2016Postery2016poster
Power State to PST Conversion: Simplifying static analysis and debugging of power aware designsMadhur Bhargava and Pankaj Gairola2016Papery2016paper
Practical Applications of the Portable Testing and Stimulus Standard (PSS)Sharon Rosenberg2019Presentationy2019presentation
Practical Approach Using a Formal App to Detect X-Optimism-Related RTL BugsShuqing Zhao, Shan Yan, and Yafang Feng2014Papery2014paper
Practical Approach Using a Formal App to Detect X-Optimism-Related RTL BugsShuqing Zhao, Shan Yan, and Yafang Feng2014Presentationy2014presentation
Practical Considerations for Real Valued Modeling of High Performance Analog SystemsDushyant Juneja, Siddharth Prabhu, and Syam Veluri2016Papery2016paper
Practical Considerations for Real Valued Modeling of High Performance Analog SystemsDushyant Juneja, Siddharth Prabhu, and Syam Veluri2016Papery2016paper
Practical Considerations for Real Valued Modeling of High Performance Analog SystemsDushyant Juneja, Siddharth Prabhu, and Syam Veluri2016Presentationy2016presentation
Practical Considerations for Real Valued Modeling of High Performance Analog SystemsDushyant Juneja, Siddharth Prabhu, and Syam Veluri2016Postery2016poster
Practical Issues in Implementing Fast and Accurate SystemC-Constructed Virtual Platform SimulationYu-Fu Yeh and Chung-Yang (Ric) Huang2013Presentationy2013presentation
Practical Issues in Implementing Fast and Accurate SystemC-Constructed Virtual Platform SimulationYu-Fu Yeh and Chung-Yang (Ric) Huang2013Papery2013paper
Practical Scheme to Enhance Verification Turn-Around-Time by Using Reusable Harness Interface (RHI)Jong pil Jung, Hyunju Lee, Jaejin Ha, and Yonghee Im2018Presentationy2018presentation
Practical Scheme to Enhance Verification Turn-Around-Time by Using Reusable Harness Interface (RHI)Jongpil Jung, Hyunju Lee, Jaejin Ha, and Yonghee Im2018Papery2018paper
Practical Schemes to Enhance Vertical, Horizontal and Platform Reusability of Verification Components in AMBA Based SoC DesignIeryung Park, Nara Cho and Yonghee Im2017Presentationy2017presentation
PRACTICAL SCHEMES TO ENHANCE VERTICAL, HORIZONTAL AND PLATFORM REUSABILITY OF VERIFICATION COMPONENTS IN AMBA BASED SOC DESIGNIeryung Park, Nara Cho, and Yonghee Im2017Papery2017paper
Pragmatic Formal Verification of Sequential Error Detection and Correction Codes (ECCs) used in Safety-Critical DesignAman Kumar2023Postery2023poster
Pragmatic Formal Verification of Sequential Error Detection and Correction Codes (ECCs) used in Safety-Critical DesignAman Kumar2023Papery2023paper
Pragmatic Verification Reuse in a Vertical WorldMark Litterick2013Papery2013paper
Pragmatic Verification Reuse in a Vertical WorldMark Litterick2013Postery2013poster
Pre-Silicon Power Management Verification of Complex SOCs: Experiences with Intel MoorefieldRajeev Muralidhar, Nivedha Krishnakumar, Bryan Morgan, Robert Karas, Billy Dennie, and Neil Rosenberg2016Papery2016paper
Pre-Silicon Validation of Production BIOS, Software Use Cases and Accelerator IP Workloads using Hybrid System Level Emulation SoC PlatformNeeraj Gupta, Reddaiah Yedoti, Dixit Sethi, and Sarvesh Kumar Pandey2022Postery2022poster
Pre-Silicon Validation of Production BIOS, Software Use Cases and Accelerator IP Workloads Using Hybrid System Level Emulation SoC PlatformNeeraj Gupta, Reddaiah Yedoti, Dixit Sethi, and Sarvesh Kumar Pandey2022Papery2022paper
Predicting Bad CommitsChristian Graber, Daniel Hansson, and Adam Tornhill2019Postery2019poster
Preventing Chip-Killing Glitches on CDC Paths with Automated Formal AnalysisJackie Hsiung, Ashish Hari, and Sulabh Kumar Khare2018Papery2018paper
Preventing Chip-Killing Glitches on CDC Paths with Automated Formal AnalysisJackie Hsiung, Sulabh Kumar Khare, and Ashish Hari2018Postery2018poster
Preventing Glitch Nightmares on CDC Paths: The Three WitchesJian-Hua Yan, Ping Yeung, Stewart Li, Sulabh-Kumar Khare2021Papery2021paper
Primary, Anonymous, or What? The Destiny of Ports from Design Top that Ultimately are Driven from Off-Chip…Brandon Skaggs, Progyna Khondkar2021Papery2021paper
Problematic Bi-Directional Port Connections: How Well is Your Simulator Filling the UPF LRM Void?Brandon Skaggs2022Presentationy2022presentation
Problematic Bi-Directional Port Connections: How Well Is Your Simulator Filling the UPF LRM Void?Brandon Skaggs2022Papery2022paper
Product Life Cycle of an Interconnect Bus: A Portable Stimulus Methodology for Performance Modeling, Design Verification, and Post-Silicon ValidationGaurav Bhatnagar and Courtney Fricano2019Papery2019paper
Product Life Cycle of Interconnect Bus:A Portable Stimulus Methodology for Performance Modeling, Design Verification, and Post-Silicon ValidationGaurav Bhatnagar and Courtney Fricano2019Presentationy2019presentation
Programming Model Inheritance and Sequence ReuseAji Varghese2016Papery2016paper
Proper Probing: Flexibility on the TLM LevelGergö Vékony2018Postery2018poster
Proper probing: Flexibility on the TLM levelGergő V kony2018Papery2018paper
Property-Driven Development of a RISC-V CPUTobias Ludwig, Michael Schwarz, Joakim Urdahl, Lucas Deutschmann, Salaheddin Hetalani, Dominik Stoffel, and Wolfgang Kunz2019Papery2019paper
Property-Driven Development of a RISC-V CPUTobias Ludwig2019Presentationy2019presentation
Proven Strategies for Better Verification Planning: DVCon 2022 WorkshopPaul Marriott, Jeff Vance, and Jeff McNeal 2022Presentationy2022presentation
PSL/SVA Assertions in SPICEDonald O’Riordan and Prabal Bhattacharya2012Papery2012paper
PSS Action Sequence Modeling Using Machine LearningMoonki Jang2022Presentationy2022presentation
PSS Action Sequence Modeling Using Machine LearningMoonki Jang, Myeongwhan Hyun, Hyunkyu Ahn, Jiwoong Kim, Yunwhan Kim, and Dongjoo Kim2022Papery2022paper
PSS: The Promises and Pitfalls of Early AdoptionMike Bartley2019Papery2019paper
Pushbutton Complete IP GenerationFreddy Nunez2023Presentationy2023presentation
Qualification of Formal Properties for Productive Automotive Microcontroller VerificationHolger Busch2013Papery2013paper
Quantification of Formal Properties for Productive Automotive Microcontroller VerificationHolger Busch2013Presentationy2013presentation
Raising the level of Formal Signoff with End to End Checking MethodologyPing Yeung, Arun Khurana, Dhruv Gupta, Ashutosh Prasad, Achin Mittal2022Presentationy2022presentation
Raising the Level of Formal Signoff with End-to-End Checking MethodologyPing Yeung, Arun Khurana, Dhruv Gupta, Ashutosh Prasad, and Achin Mittal2022Papery2022paper
Random Directed Low Power Coverage Methodology: A Smart Approach to Power Aware Verification ClosureAwashesh Kumar and Madhur Bhargava2017Papery2017paper
Random Directed Low Power Coverage Methodology:(A Smart Approach to Power Aware Verification Closure)Awashesh Kumar and Madhur Bhargava2017Presentationy2017presentation
Random Testcase Generation and Verification of Debug Unit for a RISC-V Processor CoreSneha Mishra, Lu Hao, Ajay Sharma, Afshan Anjum, Lucia Franco, Sourav Roy, Jeff Scott2023Papery2023paper
Random Testcase Generation and Verification of Debug Unit for a RISC-V Processor CoreSneha Mishra, Lu Hao, Ajay Sharma, Afshan Anjum, Lucia Franco, Sourav Roy, Jeff Scott2023Presentationy2023presentation
Randomizing UVM Config DB ParametersJeremy Ridgeway2015Papery2015paper
Randomizing UVM Config DB ParametersJeremy Ridgeway2015Postery2015poster
Real Number ModelingTom Cole, Wes Queen, Mark Kautzman, and Dan Romaine2013Papery2013paper
Real Number Modeling Enables Fast, Accurate Functional VerificationWes Queen, Tom Cole, and Dan Romaine2013Postery2013poster
Real Number Modeling for RF CircuitsJakub Dudek, Joshua Nekl and Keith O’Donoghue2017Presentationy2017presentation
Real Number Modeling of RF CircuitsJakub Dudek, Joshua Nekl, and Keith O’Donoghue2017Papery2017paper
Recipe for bug hunting: Tips & Tricks for Low power silicon sign-offMonika Rawat, Dipankar Narendra Arya, Anuroop R, Deepmala Sachan2021Papery2021paper
RegAnalyzer – A tool for programming analysis and debug for verification and validationSuresh Vasu2020Postery2020poster
RegAnalyzer -A tool for programming analysis and debug for verification and validationSuresh Vasu2020Papery2020paper
Register This! Experiences Applying UVM RegistersSharon Rosenberg2012Papery2012paper
Register This! Experiences Applying UVM RegistersKathleen Meade2012Presentationy2012presentation
Register Verification: Do We Have Reliable Specification?NamDo Kim, Junhyuk Park, Byeong Min, and Wesley Park2013Postery2013poster
Register Verification: Do We Have Reliable Specification?NamDo Kim, Junhyuk Park, Byeong Min, and Wesley Park2013Papery2013paper
Registering the standard: Migrating to the UVM_REG code baseSachin Patel, Amit Sharma, and Adiel Khan2012Papery2012paper
Regressions in the 21st Century – Tools for Global SurveillanceDavid Crutchfield, Tushar Grupta, Frank Roberts, and Venkataramanan Srinivasan2016Presentationy2016presentation
Regressions in the 21st Century – Tools for Global SurveillanceDavid Crutchfield, Tushar Grupta, Frank Roberts, and Venkataramanan Srinivasan2016Papery2016paper
Regvue Modern Hardware/Software Interface (HSI) DocumentationRob Donnelly, Josh Geden2023Papery2023paper
Regvue Modern Hardware/Software Interface DocumentationRob Donnelly, Josh Geden2023Presentationy2023presentation
Relieving the Parameterized Coverage HeadacheChristine Lovett, Bryan Ramirez, Stacey Secatch, and Michael Horn2012Papery2012paper
Relieving the Parameterized Coverage HeadacheChristine Lovett2012Presentationy2012presentation
Reset and Initialization, the Good, the Bad and the UglyPing Yeung and Kaowen Liu2016Papery2016paper
Reset and Initialization, the Good, the Bad and the UglyPing Yeung and Kaowen Liu2016Postery2016poster
Reset and Initialization: the Good, the Bad and the UglyPing Yeung and Kaowen Liu2016Presentationy2016presentation
Reset Domain Crossing for designs with set-reset flopsAbdul Moyeen, Inayat Ali2021Papery2021paper
Resetting Anytime with the Cadence UVM Reset PackageCourtney Schmitt, Phu Huynh, Stephanie McInnis, and Uwe Simm2014Papery2014paper
Resetting Anytime with the Cadence UVM Reset PackageCourtney Schmitt, Phu Huynh, Stephanie McInnis, and Uwe Simm2014Postery2014poster
Results Checking Strategies with Portable StimulusTom Fitzpatrick and Matthew Ballance2019Papery2019paper
Results Checking Strategies with Portable StimulusTom Fitzpatrick and Matthew Balance2019Presentationy2019presentation
Reusable System-Level Power-Aware IP Modeling ApproachAntonio Genov, Francois Verdier, and Loic Leconte2022Papery2022paper
REUSABLE UPF: Transitioning from RTL to Gate Level VerificationDurgesh Prasad, Jitesh Bansal, and Madhur Bhargava2018Postery2018poster
REUSABLE UPF: Transitioning from RTL to Gate Level VerificationDurgesh Prasad, Jitesh Bansal, and Madhur Bhargava2018Papery2018paper
Reuse C test and UVM sequence utilizing TLM2, register model and interrupt handlerLiu HongLiang and Gao Teng-Fei2015Papery2015paper
Reuse C test and UVM sequence utilizing TLM2, register model and interrupt handlerHongLiang Liu and Teng-Fei Gao2015Presentationy2015presentation
Reusing Testbench Components in a Hybrid Simulation-Formal EnvironmentRitero Chi and Xiaolin Chen2010Papery2010paper
Reusing UVM Test Benches in a Cycle SimulatorKristina Hager, Carter Alvord, Andrew Lynch, and Umer Yousafzai2014Presentationy2014presentation
Reusing UVM Testbenches in a Cycle SimulatorKristina Hager, Andrew Lynch, Umer Yousafzai, and Carter Alvord2014Papery2014paper
Richard Weber, Jamsheed Agahi, Josh Rensch, Eric SherkPratik Parvati2023Presentationy2023presentation
RISC-V Processor Verification: Case StudyAdi Maymon, Shay Harari, Lee Moore, Larry Lapides2021Papery2021paper
RISC-V Security Verification using Perspec/Portable StimulusJunxia Wang, Siyan. Li, Leven. Li, A T S Prasad, Kiran Kumar Palla, Yung Cheng Chen2023Papery2023paper
Robust Physical Layer IP Verification for DDR4-3DS Memory by Channel ModellingAditya S Kumar, Gowdra Bomanna Chethan, Shivani Maurya, Kumar Rajeev Ranjan, Anil Deshpande, Somasunder Kattepura Sreenath2021Papery2021paper
Rockin’ the Polymorphism for an Elegant UVM Testbench ArchitectureMike Baird and Frank Verhoorn2018Postery2018poster
Rockin’ the polymorphism for an Elegant UVM testbench Architecture for a Scalable, Highly Configurable, Extensible DUTMichael Baird and Frank Verhoorn2018Papery2018paper
Rolling the dice with random instructions is the safe bet on RISC-V verificationSimon Davidmann and Lee Moore, Richard Ho and Tao Liu, and Doug Letcher and Aimee Sutton2020Presentationy2020presentation
Run-time Configuration of a Verification Environment – A Novel Use of the OVM/UVM Analysis PatternPaul Marriott and Mark Ronan2013Papery2013paper
Run-Time Configuration of a Verification Environment: A Novel Use of the OVM/UVM Analysis PatternPaul Marriott2013Postery2013poster
Run-Time Phasing in UVM: Ready for the Big Time or Dead in the Water?John Aynsley2015Papery2015paper
Run-Time Phasing in UVM: Ready for the Big Time or Dead in the Water?John Aynsley2015Presentationy2015presentation
Safety and Security Aware Pre-silicon Concurrent Software Development and VerificationFrank Schirrmeister, Joe Fabbre, and Max Hinson2020Papery2020paper
Safety and Security Aware Pre-silicon Concurrent Software Development and VerificationFrank Schirrmeister, Joe Fabbre, and Max Hinson2020Postery2020poster
Saving and Restoring Simulation Methodology using UVM Factory Overriding to Reduce Simulation Turnaround TimeAhhyung Shin, Yungi Um, Youngsik Kim, and Seonil Brian Choi2020Papery2020paper
Saving and Restoring Simulation Methodology using UVM Factory Overriding to Reduce Simulation Turnaround TimeAhhyung Shin, Yungi Um, Youngsik Kim, and Seonil Brian Choi2020Postery2020poster
Scalable Reset Domain Crossing Verification Using Hierarchical Data ModelSoumya Palit, Anwesha Choudhury, and Kurt Takara2020Presentationy2020presentation
SCALABLE, RE-USABLE UVM DMS AMS BASED VERIFICATION METHODOLOGY FOR MIXED-SIGNAL SOCSNilesh Sonara, Noorulla Mohammad, Poonam Singh, David Stoops, Joseph Fernando, and Kartik Sudarshana2019Papery2019paper
Scalable, Re-usable UVM DMS AMS based Verification Methodology for Mixed-Signal SOCsNilesh Sonara, Noorulla Mohammad, Poonam Singh, David Stoops, Joseph Fernando, and Kartik Sudarshana2019Presentationy2019presentation
Second Generation Completeness Analysis of Formal Assertions on Compatibility of RISC-V CoresWayne Yun2020Presentationy2020presentation
Security Verification using Perspec/Portable StimulusJunxia Wang, Leven Li, Siyan Li, A T S Prasad, Kiran Kumar Palla, Yung Cheng Chen2023Presentationy2023presentation
Security Verification Using Portable Stimulus Driven Test Suite SynthesisAdnan Hamid and David Kelf2020Presentationy2020presentation
See the Forest for the Trees – How to Effectively Model and Randomize a Directed Rooted Tree StructureHarry Duque and Lars Viklund2023Papery2023paper
See the Forest for the Trees – How to Effectively Model and Randomize a DRT StructureHarry Duque, Lars Viklund2023Presentationy2023presentation
Sequence, Sequence on the Wall – Who’s the Fairest of Them All?Rich Edelman and Raghu Ardeishar2013Papery2013paper
Seven Separate Sequence Styles Speed Stimulus ScenariosMark Peryer2013Papery2013paper
Seven Separate Sequence Styles Speed Stimulus ScenariosMark Peryer2013Postery2013poster
SGEN2: Evolution of a Sequence-Based Stimulus Engine for Micro-Processor VerificationStephan Bourduas and Christopher Mikulis2018Postery2018poster
SGEN2: Evolution of a sequence-based stimulus engine for micro-processor verification.Stephan Bourduas and Chris Mikulis2018Papery2018paper
Shaping Formal Traces without Constraints: A Case Study in Closing Code Coverage on a Crypto Engine Using Formal VerificationDavid N. Goldberg, Adriana Maggiore, and David J. Simpson2012Papery2012paper
Shaping Formal Traces without Constraints: A Case Study in Closing Code Coverage on a Crypto Engine using Formal VerificationDavid N. Goldberg, Adriana Maggiore, and David J. Simpson2012Presentationy2012presentation
Sharing Generic Class Libraries in SystemVerilog Makes Coding Fun AgainKeisuke Shimizu2014Papery2014paper
Sharing Generic Class Libraries in SystemVerilog Makes Coding Fun AgainKeisuke Shimizu2014Presentationy2014presentation
Sign-off with Bounded Formal Verification ProofsNamDo Kim, Junhyuk Park, HarGovind Singh, and Vigyan Singhal2014Papery2014paper
Sign-off with Bounded Formal Verification ProofsNAMDO KIM, JUNHYUK PARK, HARGOVIND SINGH, and VIGYAN SINGHAL2014Presentationy2014presentation
Simple & Rapid Design Verification using SystemVerilog Testbench on Intel’s Next-Generation MicroprocessorThomas Alsop, Wayne Clift, Luke Hood, and Jeff Gray2011Papery2011paper
Simpler Register ModelSanjeev Singh2018Presentationy2018presentation
Simpler Register Model Package for UVM Testbenches.Sanjeev Singh2018Papery2018paper
Simulation Acceleration with ZeBu to Speed IP and Platform VerificationHillel Miller and Wei-Hua Han2019Presentationy2019presentation
Simulation Runtime Optimization of Constrained Random Verification using Machine Learning AlgorithmsSarath Mohan Ambalakkat and Eldon Nelson2019Papery2019paper
Simulation Runtime Optimization of Constrained Random Verification using Machine Learning AlgorithmsSarath Mohan Ambalakkat M.S. and Eldon Nelson M.S. P.E.2019Presentationy2019presentation
Single Source Register Sequencing Toolkit and Methodology for FW and Verification Co-DevelopmentJosh Loo, Anthony Cabrera, Kiel Boyle, and Scott R. Nelson2020Presentationy2020presentation
Slaying the UVM Reuse DragonMike Baird and Bob Oden2016Postery2016poster
Slaying the UVM Reuse Dragon Issues and Strategies for Achieving UVM ReuseMike Baird and Bob Oden2016Papery2016paper
Smart Formal for Scalable VerificationAshish Darbari2019Presentationy2019presentation
Smart Formal for Scalable VerificationAshish Darbari2019Papery2019paper
So There’s My Bug! Debugging Universal Verification Methodology (UVM) EnvironmentsMike Floyd2011Papery2011paper
So you think you have good stimulus: System-level distributed metrics analysis and resultsAndreas Meyer2014Papery2014paper
So you think you have good stimulus: System-level distributed metrics analysis and resultsAndreas Meyer2014Postery2014poster
SoC Firmware Debugging Tracer in Emulation PlatformKubendra Kumbar, Sandeep Vallabhaneni, Ken Joseph Kannampuzha, Hojun Shim, and Byung Chul Yoo2020Papery2020paper
SoC Firmware Debugging Tracer in Emulation PlatformKubendra Kumbar, Sandeep Vallabhaneni, Ken Joseph Kannampuzha, Hojun Shim, and Byung Chul Yoo2020Postery2020poster
SoC Verification of Analog IP Integration through Automated, Formal-Based, Rule-driven Spec GenerationMurugesh Palaniswamy, Ravi Kalyanaraman, Gargi Sharma, and Bharat Baliga-Savel2018Papery2018paper
SoC Verification of Analog IP Integration through Automated, Formal-Based, Rule-driven Spec GenerationMurugesh Palaniswamy, Ravi Kalyanaraman, Gargi Sharma, and Bharat Baliga-Savel2018Postery2018poster
SoC Verification Speed – More is BetterFernanda Braga, John Rose, William Winkeler, Sharon Rosenberg, and Frank Schirrmeister2018Presentationy2018presentation
SoC Verification using OVM : Leveraging OVM Constructs to Perform Processor Centric VerificationCedric Macadangdang and Paul Yue2012Papery2012paper
Soft Constraints in SV: Semantics and ChallengesMark Strickland2012Presentationy2012presentation
Soft Constraints in SystemVerilog Semantics and ChallengesMark Strickland, Joseph Hanli Zhang, Jason Chen, Dhiraj Goswami, and Alex Wakefield2012Papery2012paper
Solving Next Generation IP ConfigurabilityDavid Murray and Simon Rance2014Presentationy2014presentation
Solving Next Generation IP ConfigurabilityDavid Murray and Simon Rance2014Papery2014paper
Source Control…$100 Regression Script…$500 Good Automated Release Steps…$PricelessJeffrey Wren2010Papery2010paper
Specification Driven Analog and Mixed-Signal VerificationHenry Chang and Ken Kundert2015Papery2015paper
Specification Driven Analog and Mixed-Signal VerificationHenry Chang and Ken Kundert2015Presentationy2015presentation
Standard Regression Testing Does not WorkDaniel Hansson2015Papery2015paper
Standard Regression Testing Does Not WorkDaniel Hansson2015Presentationy2015presentation
Static power-management verification of Cypress’s PSoC® Programmable System-on-Chip for embedded systemsJohnie Au and Prapanna Tiwari2010Papery2010paper
Static Sign-Off Best Practices Learnings and Experiences from Industry Use CasesVikas Sachdeva2023Presentationy2023presentation
Statically Dynamic or Dynamically Static? Exploring the power of classes and enumerations in SystemVerilog Assertions for reusability and scalabilitySachin Scaria, Sreenu Yerabolu, and Don Mills2017Presentationy2017presentation
Statically Dynamic or Dynamically Static? Exploring the power of classes and enumerations in SystemVerilog Assertions for reusability and scalabilitySachin Scaria and Sreenu Yerabolu2017Papery2017paper
Stepping into UPF 2.1 world: Easy solution to complex Power Aware VerificationAmit Srivastava and Madhur Bhargava2014Papery2014paper
Stepping into UPF 2.1 world: Easy solution to complex Power Aware VerificationAmit Srivastava and Madhur Bhargava2014Presentationy2014presentation
Stepwise Refinement and Reuse: The Key to ESLAshok B. Mehta, Mark Glasser, Shabtay Matalon, and Dan Gardner2011Papery2011paper
Stimulating Scenarios in the OVM and VMMJL Gray and Scott Roland2010Papery2010paper
Strategies and Methods of using PSS2.0 high level modeling techniques to augment UVM verificationSwami Venkatesan2021Papery2021paper
Strategies on CDC False Alarm Rapid LocationJianhua Yan, Meiling Qi, Yunyang Song2021Papery2021paper
Strategies to Maximize Reusability of UVM Test Scenarios in SoC VerificationHyeonman Park, Namyoung Kim, Kyoungmin Lee, Hongkyu Kim, Jaein Hong, Kiseok Bae2023Papery2023paper
Strategies to Maximize Reusability of UVM Test Scenarios in SoC VerificationNamyoung Kim, Hyeonman Park, Kyoungmin Lee, Hongkyu Kim, Jaein Hong, Kiseok Bae2023Presentationy2023presentation
Strategy and Environment for SOC Mixed-Signal Validation: A Case StudyErik A McShane and Intel2010Papery2010paper
Sub-design Interface Aware Top Only Static Low Power VerificationHeichang Lee, Nikhil Amin, Jianfeng Liu, Minyoung Mo, and Dongkwan Han2018Papery2018paper
Successes and Challenges of Validation Content ReuseMike Chin, Jonathan Edwards, Josh Pfrimmer, and Hooi Jing Tan2022Postery2022poster
Successive Refinement – An Approach to Decouple Front End and Back End Power IntentSinha Rohit Kumar and Kotha Kavya2022Papery2022paper
Successive Refinement – An Approach to Decouple Front Front-End and Back Back-End Power IntentKotha Kavya and Sinha Rohit Kumar2022Postery2022poster
Successive Refinement of UPF Power SwitchesPrabhakar Satya Ayyagari, William G Crocco2023Papery2023paper
Successive Refinement: A Methodology for Incremental Specification of Power IntentAdnan Khan, John Biggs, Eamonn Quigley, and Erich Marschner2015Papery2015paper
Successive Refinement: A Methodology for Incremental Specification of Power IntentAdnan Khan, Eamonn Quigley, John Biggs, and Erich Marschner2015Postery2015poster
Successive Refinement: A Methodology for Incremental Specification of Power IntentAdnan Khan, Eamonn Quigley, John Biggs, and Erich Marschner2015Presentationy2015presentation
Supercharge Your Verification Using Rapid Expression Coverage as the Basis of a MC/DC-Compliant Coverage MethodologyGaurav Kumar Verma and Doug Warmke2014Papery2014paper
Supercharge Your Verification Using Rapid Expression Coverage as the Basis of a MC/DC-Compliant Coverage MethodologyGaurav Kumar Verma and Doug Warmke2014Postery2014poster
Supplementing Simulation of a Microcontroller Flash Memory Subsystem with Formal Veri cationOthmane Bahlous and Abdelouahab Ayari2012Papery2012paper
Supplementing Simulation of a Microcontroller Flash Memory Subsystem with Formal VerificationOthmane Bahlous and Abdel Ayari2012Presentationy2012presentation
Supply network connectivity: An imperative part in low power gate-level verificationGabriel Chidolue, Vinay Singh, and Divyeshkumar Dhanjibhaiv Vora2019Presentationy2019presentation
Supply network connectivity: An imperative part in low power gate-level verificationVinay Kumar Singh and Gabriel Chidolue2019Papery2019paper
Supporting root cause analysis of inaccurate bug prediction based on machine learning – Lessons learned when interweaving training data and source codeOscar Werneman, Markus Borg, Daniel Hansson2021Papery2021paper
Survey of Machine Learning (ML) Applications in Functional Verification (FV)Dan Yu, Harry Foster, Tom Fitzpatrick2023Presentationy2023presentation
SVA Encapsulation in UVM: enabling phase and configuration aware assertionsMark Litterick2013Presentationy2013presentation
SVA Encapsulation in UVM: enabling phase and configuration aware assertionsMark Litterick2013Papery2013paper
Switch the Gears of the UVM Register Package to cruise through the street named “Register Verification”.Amit Sharma, Varun S, Abhisek Verma, and Gaurav Gupta2013Papery2013paper
Switch the Gears of the UVM Register Package to cruise through the street named “Register Verification”.Parag Goel, Amit Sharma, Varun S, Abhisek Verma, and Gaurav Gupta2013Postery2013poster
Synchronicity: Bringing Order to SystemVerilog/UVM Synchronizing ChaosBryan Morris and P. Eng2019Papery2019paper
Synchronicity: Bringing Order to the SystemVerilog/UVM Synchronizing ChaosBryan Morris2019Presentationy2019presentation
Synthesis of Decoder Tables using Formal Verification ToolsKeerthikumara Devarajegowda, Johannes Schreiner, and Wolfgang Ecker2018Presentationy2018presentation
Synthesis of Decoder Tables using Formal Verification ToolsKeerthikumara Devarajegowda, Johannes Schreiner, and Wolfgang Ecker2018Papery2018paper
Synthesis of Decoder Tables Using Formal Verification ToolsKeerthikumara Devarajegowda, Johannes Schreiner, and Wolfgang Ecker2018Postery2018poster
Synthesizable Random Testbench for Multimedia IP VerificationSanggyu Park2021Papery2021paper
SYSTEM LEVEL FAULT INJECTION SIMULATION USING SIMULINKWai Yuen Tang, Marcelo Mizuki, Mitch Norcross, and Fengying Qiao2017Papery2017paper
System Level Fault Injection Simulation Using SimulinkWai Yuen Tang, Marcelo Mizuki, Mitch Norcross, and Fengying Qiao2017Postery2017poster
System level random verification: How it should be doneMadhusudan Rathi and Ashok Chandran2019Presentationy2019presentation
System Model – A Testbench Library Component Aided for Emulating User InteractionHussain Wadia2019Postery2019poster
System Responsiveness Verification of large Multi Processor System Configurations using Micro Benchmarks and a Multi Level AnalysisDr. Ralf Winkelmann, Edward Chencinski, Hanno Eichelberger, Michael Fee, Carsten Otte, and Christoph Raisch2017Postery2017poster
System Responsiveness Verification of large Multi-Processor System Configurations using Micro-Benchmarks and a Multi-Level AnalysisDr. Ralf Winkelmann, Edward Chencinski, Hanno Eichelberger, Michael Fee, Carsten Otte, Christoph Raisch2017Papery2017paper
System to catch Implementation gotchas in the RTL Restructuring processAnmol Rattan, Satinder Malhi, and Balwinder Soni2016Papery2016paper
System to catch Implementation gotchas in the RTL Restructuring processAnmol Rattan, Satinder Singh Malhi, Balwinder Singh Soni, Anuj Kumar, Navneet Chaurasia, and Sami Akhtar2016Postery2016poster
System Verification with MatchLibRussell Klein2022Presentationy2022presentation
System Verilog Assertion Linting: Closing Potentially Critical Verification HolesErik Seligman, Laurence Bisht, and Dmitry Korchemny2012Presentationy2012presentation
System-Level Power Estimation of SSDs under Real Workloads using EmulationSangmin Kim, Kwanghyo Ahn, Changhoon Han, Hyunsik Kim, Jaewoo Im2023Postery2023poster
System-Level Power Estimation of SSDs under Real Workloads using EmulationSangmin Kim, Kwanghyo Ahn, Changhoon Han, Hyunsik Kim, Jaewoo Im2023Papery2023paper
System-Level Random Verification: How it should be doneMadhusudan Rathi and Ashok Chandran2019Papery2019paper
System-Level Security Verification Starts with the Hardware Root of TrustDr. Jason Oberg2019Presentationy2019presentation
Systematic Application of UCIS to Improve the Automation on Verification ClosureChristoph Kuznik, Marcio F. S. Oliveira, Gilles Bertrand Defo, and Wolfgang Mueller2013Papery2013paper
Systematic Application of UCIS to Improve the Automation on Verification ClosureChristoph Kuznik, Marcio F. S. Oliveira, Gilles Bertrand Defo, and Wolfgang Mueller2013Presentationy2013presentation
Systematic Constraint Relaxation (SCR): Hunting for Over Constrained StimulusDebarshi Chatterjee, Spandan Kachhadia, Ismet Bayraktaroglu, Siddhanth Dhodhi2022Presentationy2022presentation
Systematic Constraint Relaxation (SCR): Hunting for Over-Constrained StimulusDebarshi Chatterjee, Spandan Kachhadiya, Ismet Bayraktaroglu, and Siddhanth Dhodhi2022Papery2022paper
Systematic Speedup Techniques for Functional CDC Verification ClosureSulabh Kumar Khare and Ashish Hari2017Papery2017paper
Systematic Speedup Techniques for Functional CDC Verification ClosureSulabh Kumar Khare and Ashish Hari2017Postery2017poster
Systematically Achieving CDC Verification Closure based on Coverage Models and Coverage MetricsAshish Hari and Yogesh Badaya2012Papery2012paper
Systematically Achieving CDC Verification Closure based on Coverage Models and Coverage MetricsAshish Hari and Yogesh Badaya2012Presentationy2012presentation
SystemC FMU for Verification of Advanced Driver Assistance SystemsKeroles Khalil and Magdy A. El-Moursy2019Postery2019poster
SystemC Virtual Prototype: Ride the Earliest Train for Time-To-MarketShweta Saxena and Mahantesh Danagouda2022Presentationy2022presentation
SystemC Virtual Prototype: Ride the earliest train for Time-To-Market!Shweta Saxena and Mahantesh Danagouda2022Papery2022paper
SystemC: Focusing on High Level Synthesis and Functional Coverage for SystemCDragos Dospinescu, Frederic Doucet, Mike Meredith, Bob Condon, Dragos Dospinescu and Mike Meredith2019Presentationy2019presentation
SystemRDL to PSS BASIC TO PROAnupam Bakshi and Amanjyot Kaur2020Presentationy2020presentation
SystemVerilog Assertion Linting: Closing Potentially Critical Verification HolesLaurence S. Bisht, Dmitry Korchemny, and Erik Seligman2012Papery2012paper
SystemVerilog Assertions for Clock-Domain-Crossing Data PathsDon Mills2015Papery2015paper
SystemVerilog Assertions for Clock-Domain-Crossing Data PathsDon Mills2015Presentationy2015presentation
SystemVerilog Checkers: Key Building Blocks for Verification IPLaurence Bisht, Dmitry Korchemny, and Erik Seligman2012Papery2012paper
SystemVerilog Configurations and Tool Flow Using SCons (an Improved Make)Don Mills and Dillan Mills2020Presentationy2020presentation
SystemVerilog Constraint Layering via Reusable Randomization Policy ClassesJohn Dickol2015Postery2015poster
SystemVerilog Constraint Layering via Reusable Randomization Policy ClassesJohn Dickol2015Papery2015paper
SystemVerilog Constraints: Appreciating What You Forgot In School to Get Better ResultsDave Rich2020Presentationy2020presentation
SystemVerilog Format of Portable StimulusWayne Yun, David Chen, Theta Yang, and Evean Qin2019Postery2019poster
SystemVerilog FrameWorksTM Scoreboard: An Open Source Implementation Using UVMAmbar Sarkar2011Papery2011paper
SystemVerilog Interface Classes – More Useful Than You ThoughtStan Sokorac2016Papery2016paper
SystemVerilog Interface Classes More Useful Than You ThoughtStan Sokorac2016Presentationy2016presentation
SystemVerilog Interface CookbookPaul Egan and Kathleen Otten2014Papery2014paper
SystemVerilog Interface CookbookPaul Egan and Kathleen Otten2014Presentationy2014presentation
SystemVerilog Meets C++: Re-use of Existing C/C++ Models Just Got EasierJohn Aynsley2010Papery2010paper
SystemVerilog Real Models for an InMemory Compute DesignDaniel Cross2023Papery2023paper
SystemVerilog-2009 Enhancements: Priority/Unique/UniqueClifford E. Cummings2010Papery2010paper
SystemVerilog, Batteries Included: A Programmer’s Utility Library for SystemVerilogJonathan Bromley and André Winkelmann2014Presentationy2014presentation
SystemVerilog, Batteries Included: A Programmer’s Utility Library for SystemVerilogJonathan Bromley and André Winkelmann2014Papery2014paper
Table-based Functional Coverage Management for SOC ProtocolsShahid Ikram, Jack Perveiler, Isam Akkawi, Jim Ellis, and David Asher2015Papery2015paper
Table-based Functional Coverage Management for SOC ProtocolsShahid Ikram, Jack Perveiler, Isam Akkawi, Jim Ellis, and David Asher2015Presentationy2015presentation
Tackling Random Blind Spots with Strategy-Driven GenerationMatthew Ballance2014Postery2014poster
Tackling Random Blind Spots with Strategy-Driven Stimulus GenerationMatthew Ballance2014Papery2014paper
Tackling Register Aliasing Verification Challenges in Complex ASIC DesignShan Yan, Jie Wu, and Jing Li2017Presentationy2017presentation
Tackling Register Aliasing Verification Challenges in Complex ASIC DesignShan Yan, Jie Wu, and Jing Li2017Papery2017paper
Tackling the challenge of simulating multi-rail macros in a power aware flowHimanshu Bhatt, Amol Herlekar, Vikas Grover, and Subhadip Nath2014Papery2014paper
Tackling the challenge of simulating multi-rail macros in a power-aware flowHimanshu Bhatt, Amol Herlekar, Vikas Grover, and Subhadip Nath2014Presentationy2014presentation
Tackling the Complexity Problem in Control and Datapath Designs with Formal VerificationRavindra Aneja, Ashish Darbari, Nitin Mhaske, and Per Bjesse2019Presentationy2019presentation
Take AIM! Introducing the Analog Information ModelChuck McClish2023Papery2023paper
Take AIM! Introducing the Analog Information ModelChuck McClish2023Presentationy2023presentation
Taking Real-Value Modeling to the next level: Power-aware verification of mixed-signal designsSubin Thykkoottathil, Jakub Dudek, Nagesh Ranganath, Nimay Shah, and Santosh Singh2019Papery2019paper
Taking RNM Model to the Next Level: Power-Aware Verification of Mixed-Signal DesignsSubin Thykkoottathil, Nagesh Ranganath, Santosh Singh, Jakub Dudek, and Nimay Shah2019Presentationy2019presentation
Taming a Complex UVM EnvironmentManjunath Shetty, and Ramamurthy Gorti2015Postery2015poster
Taming a Complex UVM EnvironmentManjunath Shetty and Ramamurthy Gorti2015Papery2015paper
Taming the beast: A smart generation of Design Attributes (Parameters) for Verification Closure Using SpecmanMeirav Nitzan, Yael Kinderman, and Efrat Gavish2013Papery2013paper
Test driving Portable Stimulus at AMDPrabhat Gupta and Matan Vax2019Presentationy2019presentation
Test Parameter Tuning with Blackbox Optimization: A Simple Yet Effective Way to Improve CoverageQijing Huang*, Hamid Shojaei, Fred Zyda, Azade Nazi, Shobha Vasudevan, Sat Chatterjee, Richard Ho2022Presentationy2022presentation
Test Parameter Tuning with Blackbox Optimization: A Simple Yet Effective Way to Improve CoverageQijing Huang, Hamid Shojaei, Fred Zyda, Azade Nazi, Shobha Vasudevan, Sat Chatterjee, Richard Ho2022Papery2022paper
Test-driving PSS for System Low-Power ValidationPrabhat Gupta and Matan Vax2019Papery2019paper
Testbench Configuration MantraStephen D’Onofrio2010Papery2010paper
Testing the TestbenchStan Sokorac2016Papery2016paper
Testing the TestbenchStan Sokorac2016Postery2016poster
Testpoint Synthesis Using Symbolic SimulationKai-Hui Chang, Yen-Ting Liu and Chris Browy2015Postery2015poster
Testpoint Synthesis Using Symbolic SimulationKai-Hui Chang, Yen-Ting Liu and Chris Browy2015Papery2015paper
The Art of Portable and Reusable UVM Shared System Memory Model Verification Methodology across Multiple Verification PlatformsRoman Wang, Thomas Bodmer, and Beryl Chen2016Papery2016paper
The Art of Portable and Reusable UVM Shared System Memory Model Verification Methodology Across Multiple Verification PlatformsRoman Wang, Thomas Bodmer, and Beryl Chen2016Postery2016poster
The Best Verification Strategy You’ve Never Heard OfDavid Aerne, Amir Attarha, Harry Foster, and Kurt Takara2022Presentationy2022presentation
The Big Brain Theory – Visualizing SoC Design & Verification DataGordon Allan2015Postery2015poster
The Big Brain Theory: Visualizing SoC Design & Verification DataGordon Allan2015Papery2015paper
The Case for Low-Power Simulation-to-Implementation Equivalence CheckingHimanshu Bhatt, John Decker, and Hiral Desai2012Papery2012paper
The Case for Low-Power Simulation-to-Implementation Equivalence CheckingHimanshu Bhatt, John Decker, and Hiral Desai2012Presentationy2012presentation
The CHIPS ACT and Its Impact On The Design & Verification MarketsBOB SMITH2023Presentationy2023presentation
The Cost of SoC BugsKen Albin2016Papery2016paper
The Cost of SoC BugsKen Albin2016Presentationy2016presentation
The Evolution of RISC-V Processor VerificationAimee Sutton, Lee Moore, Mike Thompson2023Presentationy2023presentation
The Evolution of RISC-V Processor Verification: Open Standards and Verification IPLee Moore, Aimee Sutton, Mike Thompson2023Papery2023paper
The Evolution of Triage – Real-time Improvements in Debug ProductivityGordon Allan2016Postery2016poster
The Exascale Debug Challenge: Time to advance your emulation debug gameRibhu Mittal and Melvyn Goveas2020Presentationy2020presentation
The Finer Points of UVM: Tasting Tips for the ConnoisseurJohn Aynsley2013Papery2013paper
The Finer Points of UVM: Tasting Tips for the ConnoisseurJohn Aynsley2013Presentationy2013presentation
The future of formal model checking is NOW!Ram Narayan2014Papery2014paper
The Future of Formal Model Checking is NOW!Ram Narayan2014Postery2014poster
The Growing Need for End-to-end Protocol Verification for IP to Multi-die SystemsVarun Agrawal, Shakir Ali2023Presentationy2023presentation
The Importance of Complete Signoff Methodology for Formal VerificationIain Singleton, Mahesh Parmer, and Geogy Jacob2020Presentationy2020presentation
The Importance of Complete Signoff Methodology for Formal VerificationMahesh Parmar, Iain Singleton, Geogy Jacob2020Papery2020paper
The Life of a SystemVerilog VariableDave Rich2021Papery2021paper
The Missing Link: The Testbench to DUT ConnectionDavid Rich2012Papery2012paper
The Need for Speed: Understanding design factors that make multi-core parallel simulations efficientShobana Sudhakar and Rohit K Jain2013Postery2013poster
The Need for Speed: Understanding design factors that make multi-core parallel simulations efficientShobana Sudhakar and Rohit K Jain2013Papery2013paper
The OVM-VMM Interoperability Library: Bridging the GapTom Fitzpatrick and Adam Erickson2010Papery2010paper
The Problems with Lack of Multiple Inheritance in SystemVerilog and a SolutionDavid Rich2010Papery2010paper
The Process and Proof for Formal Sign-Off –A Live Case StudyIpshita Tripathi, Ankit Saxena, Anant Verma, and Prashant Aggarwal2016Presentationy2016presentation
The Process and Proof for Formal Sign-off A Live Case StudyIpshita Tripathi, Ankit Saxena, Anant Verma, and Prashant Aggarwal2016Papery2016paper
The Untapped Power of UVM Resources and Why Engineers Should Use the uvm_resource_db APIClifford E. Cummings, Heath Chambers, Mark Glasser2023Papery2023paper
The Untapped Power of UVM Resources and Why Engineers Should Use the uvm_resource_db APIClifford E. Cummings, Heath Chambers, Mark Glasser2023Presentationy2023presentation
The UPF 2.1 library commands: Truly unifying the power specification formatsAmit Srivastava, Awashesh Kumar, and Vinay Singh2015Papery2015paper
The UPF 2.1 Library Commands: Truly Unifying the Power Specification FormatsAmit Srivastava, Awashesh Kumar, and Vinay Singh2015Postery2015poster
THE VERIFICATION COCKPIT – A ONE-STOP SHOP FOR YOUR VERIFICATION DATAAlia Shah, Eugene Rotter, Avi Ziv, Raviv Gal, and Divya Joshi2020Presentationy2020presentation
There’s something wrong between Sally Sequencer and Dirk Driver – why UVM sequencers and drivers need some relationship counsellingMark Peryer2012Papery2012paper
There’s something wrong between Sally Sequencer and Dirk Driver (Why UVM sequencers and drivers need some relationship counseling)Mark Peryer2012Presentationy2012presentation
Timing Coverage: An Approach to Analyzing Performance HolesSurbhi Kalia, Shubhadeep Karmakar, Vikas Makhija, and Apoorva Mathur2019Postery2019poster
Tips for Developing Performance Efficient Verification EnvironmentsPrashanth Srinivasa, Sarath Chandrababu Valapala, and Varun S2012Papery2012paper
Title: Using Test-IP Based Verification Techniques in a UVM EnvironmentVidya Bellippady, Sundar Haran, and Jay O’Donnell2014Papery2014paper
TLM-2.0 in SystemVerilogMark Glasser and Janick Bergeron,2011Papery2011paper
To Infinity And Beyond – Streaming Data Sequences in UVMMark Litterick, Jeff Vance, Jeff Montesano2021Papery2021paper
Tough Verification Challenges: Data Visualization to the RescueShaji Kunjumohamed2016Papery2016paper
Towards Provable Protocol Conformance of Serial Automotive Communication IPJens E. Becker, Oliver Sander, Alexander Klimm, J¨urgen Becker, Katharina Weinberger, and Slava Bulach2011Papery2011paper
Traditional top level static low power rule check 2018Postery2018poster
Traffic Profiling and Performance Instrumentation For On-Chip InterconnectsMark Peryer and Bruce Mathewson2013Papery2013paper
Traffic Profiling and Performance Instrumentation For On-Chip InterconnectsMark Peryer2013Postery2013poster
Transaction Recording Anywhere AnytimeRich Edelman2019Postery2019poster
Transaction-Based Acceleration—Strong Ammunition In Any Verification ArsenalChandrasekhar Poorna, Varun Gupta, and Raj Mathur2011Papery2011paper
Transaction-Level Friending: An Open-Source, Standards-Based Library for Connecting TLM Models in SystemC and SystemVerilogAdam Erickson2013Papery2013paper
Transaction-Level State Charts in UML and SystemC with Zero-Time EvaluationRainer Findenig , Thomas Leitner, Michael Velten, and Wolfgang Ecker2010Papery2010paper
Translating and Adapting to the “real” world: SerDes Mixed Signal Verification using UVMAkhila Madhu Kumar and Karl Herterich2019Papery2019paper
Translating and Adapting to the “real” world: SerDesMixed Signal Verification using UVMAkhila Madhu Kumar and Karl Herterich2019Presentationy2019presentation
Transparent SystemC Model Factory for Scripting LanguagesRolf Meyer, Bastian Farkas, Syed Abbas Ali Shah, and Mladen Berekovic2017Papery2017paper
Transparent SystemC Model Factory for Scripting LanguagesRolf Meyer, Bastian Farkas, Syed Abbas Ali Shah, and Mladen Berekovic2017Postery2017poster
Transparently Checkpointing Software Test Benches to Improve Productivity of SOC Verification in an Emulation EnvironmentAnkit Garg, K. Suresh, Jeff Evans, Gene Cooperman, and Rohan Garg2018Presentationy2018presentation
Transparently Checkpointing Software Test Benches to Improve Productivity of SoC Verification in an Emulation EnvironmentAnkit Garg, K. Suresh, Gene Cooperman, Rohan Garg, and Jeff Evans2018Papery2018paper
Traversing the Interconnect: Automating Configurable Verification Environment DevelopmentPrashanth Srinivasa and Mathew Roy2011Papery2011paper
Tree Data Framework for Code Generation: Application of Generating UVM Testbench for Complex DesignsChenhui Huang, Yu Sun, Divyang Agrawal2023Papery2023paper
Tree Data Framework for Code Generation: Application of Generating UVM Testbench for Complex DesignsChenhui Huang, Yu Sun, Divyang Agrawal2023Presentationy2023presentation
Trends in Functional Verification: A 2016 Industry StudyHarry D. Foster2017Papery2017paper
Trends in Functional Verification: A 2016 Industry StudyHarry D. Foster2017Presentationy2017presentation
Tried and Tested Speedups for SW-driven SoC SimulationGordon Allan2014Presentationy2014presentation
Tried/Tested speedups for SW-driven SoC SimulationGordon Allan2014Papery2014paper
Tweak-Free Reuse Using OVMSharon Rosenberg2010Papery2010paper
Two Stage Framework for Corner Case Stimuli Generation Using Transformer and Reinforcement LearningChung An Wang, Chiao Hua Tseng, Chia Cheng Tsai, Tung Yu Lee, Yen Her Chen, Chien Hsin Yeh, Chia Shun Yeh, Chin Tang Lai MediaTek, Hsinchu, Taiwan2022Presentationy2022presentation
Two-Stage Framework for Corner Case Stimuli Generation Using Transformer and Reinforcement LearningChung-An Wang, Chiao-Hua Tseng, Chia-Cheng Tsai, Tung-Yu Lee, Yen-Her Chen, Chien-Hsin Yeh, Chia-Shun Yeh, and Chin-Tang Lai2022Papery2022paper
UCIS Applications: Improving Verification Productivity, Simulation Throughput, and Coverage Closure ProcessAhmed Yehia2013Presentationy2013presentation
UCIS APPLICATIONS: IMPROVING VERIFICATION PRODUCTIVITY, SIMULATION THROUGHPUT, AND COVERAGE CLOSURE PROCESSAhmed Yehia2013Papery2013paper
Ultimate Shift Left: Unleash the Power of UVM Virtual LAB Methodology upon SoC VerificationRoman Wang2018Postery2018poster
Ultimate Shift Left: Unleash the Power of UVM Virtual LAB Methodology upon SoC VerificationRoman Wang2018Papery2018paper
Unconstrained UVM SystemVerilog PerformanceWes Queen and Justin Sprague2013Papery2013paper
Unconstrained UVM SystemVerilog PerformanceWes Queen2013Postery2013poster
Understanding the Low Power AbstractGary Delp, Erich Marschner, and Kenneth Bakalar2010Papery2010paper
Understanding the RISC-V Verification Ecosystemimon Davidmann, Aimee Sutton, Lee Moore2023Presentationy2023presentation
Unifying Hardware-Assisted Verification and Validation Using UVM and EmulationHemant Sharma, Hans van der Schoot, and Achutam Murarka2013Papery2013paper
Unifying Hardware-Assisted Verification and Validation Using UVM and EmulationHemant Sharma, Hans van der Schoot, and Achutam Murarka2013Postery2013poster
Unique Verification Case Studies of Low Power Mixed Signal ChipsJeff Goswick, Venkatesh Ranga, Madhusudhan Subramanya, Anand Shirwal, and Pramod Rajan K S2016Presentationy2016presentation
Unique Verification Case Studies of Low Power Mixed Signal ChipsVenkatesh Ranga, Madhusudhan Subramanya, Anand Shirwal, Pramod Rajan K S, and Jeff Goswick2016Papery2016paper
Unleashing Portable Stimulus Productivity with a PSS Reuse StrategyM. Ballance2019Papery2019paper
Unleashing Portable Stimulus Productivity with a Reuse StrategyMatthew Balance2019Presentationy2019presentation
Unleashing the Full Power of UPF Power StatesErich Marschner and John Biggs2015Presentationy2015presentation
Unleashing the Full Power of UPF Power StatesErich Marschner and John Biggs2015Papery2015paper
Unraveling the Complexities of Functional Coverage: An advanced guide to simplify your use modelThom Ellis and Rohit Jain2018Postery2018poster
Unraveling the Complexities of Functional Coverage: An advanced guide to simplify your use modelThomas Ellis and Rohit Jain2018Papery2018paper
Unveil the Mystery of Code Coverage in Low Power Designs (Achieving Power Aware Verification Closure)Madhur Bhargava, Durgesh Prasad, and Pavan Rangudu2018Presentationy2018presentation
Unveil the Mystery of Code Coverage in Low-Power Designs: Achieving Power Aware Verification ClosureMadhur Bhargava, Durgesh Prasad, and Pavan Rangudu2018Papery2018paper
UPF 1.0, UPF 2.0, UPF 2.1, UPF 3.0, and now UPF 3.1: The big Q “Which is the Right Standard for My Design”?Madhur Bhargava2020Presentationy2020presentation
UPF Code Coverage and Corresponding Power Domain Hierarchical Tree for DebuggingShang-Wei Tu, Tom Lin, Archie Feng, and Chen Ya Ping2015Papery2015paper
UPF GENERIC REFERENCES: UNLEASHING THE FULL POTENTIALDurgesh Prasad and Jitesh Bansal2016Papery2016paper
UPF Generic References: Unleashing the Full PotentialJitesh Bansal and Durgesh Prasad2016Presentationy2016presentation
Use of Aliasing in SystemVerilog Verification EnvironmentEvean Qin2020Papery2020paper
Use of Aliasing in SystemVerilog Verification EnvironmentEvean Qin2020Postery2020poster
Use of Portable Stimulus to Verify Task Dispatching and Scheduling Functions in an LTE SwitchAdnan Hamid2017Papery2017paper
User Experiences with the Portable Stimulus StandardTom Fitzpatrick, Prabhat Gupta, Mike Chin2023Presentationy2023presentation
User Experiences with the Portable Stimulus StandardTom Fitzpatrick, Prabhat Gupta, Mike Chin2023Presentationy2023presentation
Using a modern build system to speed up complex hardware designVarun Koyyalagunta2023Presentationy2023presentation
Using a modern software build system to speed up complex hardware designVarun Koyyalagunta2023Papery2023paper
Using Advanced OOP Concepts To Integrate Templatized Algorithms for Standard Protocols With UVMAnunay Bajaj and Gaurav Chugh2013Presentationy2013presentation
Using Advanced OOP Concepts To Integrate Templatized Algorithms for Standard Protocols With UVMAnunay Bajaj and Gaurav Chugh2013Papery2013paper
Using Assertions in an Active W ay to Design and Verify Interface between Analog and Digital B locksHyunDon Kim, Jiang Long, Wesley Park, ChiHo Cha, JaeBeom Kim, Byeong Min, and KyuMyung Choi2010Papery2010paper
Using Automation to Close the Loop Between Functional Requirements and Their VerificationBrian Craw, David Crutchfield, Martin Oberkoenig, Markus Heigl, and Martin O’Keeffe2018Papery2018paper
Using Automation to Close the Loop Between Functional Requirements and Their VerificationBrian Craw, David Crutchfield, Martin Oberkoenig, Markus Heigl, and Martin O’Keeffe2018Presentationy2018presentation
Using Formal Applications to Create Pristine IPsLee Burns, David Crutchfield, and Hithesh Velkooru2017Presentationy2017presentation
Using Formal Applications to Create Pristine IPsLee Burns, David Crutchfield, Bob Metzler, and Hithesh Velkooru2017Papery2017paper
Using Formal Techniques to Verify SoC Reset SchemesKaowen Liu, Penny Yang, Mark Eslinger, Jeremy Levitt, and Matt Berman2013Postery2013poster
Using Formal Techniques to Verify System on Chip Reset SchemesKaowen Liu, Penny Yang, Jeremy Levitt, Matt Berman, and Mark Eslinger2013Papery2013paper
Using Formal to Exaustively Determine Unsafe Clock Ratios Between Asynchronous BlocksEric Hendrickson, Bill Au, Richard Llaca, and Joe Hupcey III2018Papery2018paper
Using Formal to Exhaustively Determine Unsafe Clock Ratios Between Asynchronous BlocksEric Hendrickson, Bill Au, Richard Llaca, and Joe Hupcey III2018Presentationy2018presentation
Using Formal Verification to Exhaustively Verify SoC AssembliesMark Handover and Kenny Ranerup2013Presentationy2013presentation
Using Formal Verification to Exhaustively Verify SoC AssembliesKenny Ranerup and Mark Handover2013Papery2013paper
Using Machine Learning in Register Automation and VerificationNikita Gulliya, Abhishek Bora, Nitin Chaudhary, and Amanjyot Kaur2019Presentationy2019presentation
Using Machine Learning in Register Automation and VerificationNikita Gulliya, Abhishek Bora, Nitin Chaudhary, and Amanjyot Kaur2019Papery2019paper
Using Modal Analysis to Increase Clock Domain Crossing (CDC) Analysis Efficiency and AccuracyKurt Takara, Gargi Sharma, Ajay Thadhlani, and Bhrugurajsinh Chudasama2019Papery2019paper
Using Modal Analysis to Increase Clock Domain Crossing (CDC) Analysis Efficiency and AccuracyKurt Takara, Gargi Sharma, Ajay Thadhlani, and Bhrugurajsinh Chudasama2019Presentationy2019presentation
Using Model Checking to Prove Constraints of Combinational Equivalence CheckingXiushan Feng, Joseph Gutierrez, Mel Pratt, Mark Eslinger, and Noam Farkash2010Papery2010paper
Using Mutation Coverage for Advanced Bug HuntingVladislav Palfy and Nicolae Tusinschi2018Presentationy2018presentation
Using Portable Stimulus to Verify an ARMv8 Sub-System SoC IntegrationMike Baird and Aileen Honess2019Presentationy2019presentation
Using Portable Stimulus to Verify an LTE Base-Station SwitchAdnan Hamid2017Postery2017poster
Using Portable Stimulus to Verify Cache Coherency in a Many-Core SoCAdnan Hamid, David Koogler, and Thomas L. Anderson2016Papery2016paper
Using Portable Stimulus to Verify Cache Coherency in a Many-Core SoCAdnan Hamid and Raja Pantangi2016Presentationy2016presentation
Using PSS-2.0 Hardware-Software Interface to validate 4G/5G Forward Error Correction Encoder/Decoder IP in Emulation & SiliconVinit Shenoy, Suresh Vasu, Nithin Venkatesh, Suhas Reddy, Joydeep Maitra2022Papery2022paper
Using Save/Restore is Easy, Right? A User’s Perspective on Deploying Save/Restore in a Mature Verification MethodologyEd Powell, Ron Thurgood, and Aneesh Samudrala2019Papery2019paper
Using Save/Restore is easy, Right?A User’s Perspective on Deploying Save/RestoreRon Thurgood, Ed Powell, and Aneesh Samudrala2019Presentationy2019presentation
Using Static RTL Analysis to Accelerate Satellite FPGA VerificationAdam Taylor and Dave Wallace2020Presentationy2020presentation
Using SystemVerilog “Interfaces” as Object-Oriented RTL ModulesGeoff Barnes2010Papery2010paper
Using SystemVerilog Interfaces and Structs for RTL DesignTom Symons and Nihar Shah2014Presentationy2014presentation
Using SystemVerilog Interfaces and Structs for RTL DesignTom Symons and Nihar Shah2014Papery2014paper
Using SystemVerilog Packages in Real Verification ProjKaiming Ho2010Papery2010paper
Using Test-IP Based Verification Techniques in a UVM EnvironmentVidya Bellippady, Sundar Haran, and Jay O’Donnell2014Postery2014poster
Using UPF Information Model APIs to write re-usable Low Power Testbenches and customized coverage models for Low PowerShreedhar Ramachandra and Himanshu Bhatt2019Postery2019poster
Using UVM Virtual Sequencers & Virtual SequencesClifford E. Cummings and Janick Bergeron2016Papery2016paper
Using UVM: The Condensed Guide For Designers, Debuggers, Test-Writers And Other SkepticsGordon Allan2013Papery2013paper
Using UVM: The Condensed Guide For Designers, Debuggers, Test-Writers And Other SkepticsGordon Allan2013Presentationy2013presentation
Utilizing Technology Implementation Data in blended hardware/software power optimization.Theodore Wilson and Frank Schirrmeister2019Postery2019poster
UVM – Stop Hitting Your Brother Coding GuidelinesRich Edelman and Chris Spear2020Papery2020paper
UVM – Stop Hitting Your Brother Coding GuidelinesChris Spear and Rich Edelman2020Presentationy2020presentation
UVM Acceleration using Hardware Emulator at Pre-silicon StageSunil Roe, YunGi Um, Hyunwoo Koh, Hyunsun Ahn, Youngsik Kim, and Seonil Brian Choi2018Presentationy2018presentation
UVM Acceleration Using Hardware Emulator at Pre-silicon StageSunil Roe, YunGi Um, Hyunwoo Koh, Hyunsun Ahn, Youngsik Kim, and Seonil Brian Choi2018Papery2018paper
UVM and C – Perfect TogetherRich Edelman2018Papery2018paper
UVM and C – Perfect TogetherRich Edelman2018Presentationy2018presentation
UVM and SystemC Transactions – An UpdateDavid Long and John Aynsley2016Papery2016paper
UVM and SystemC Transactions – An UpdateDavid Long2016Presentationy2016presentation
UVM and UPF: an application of UPF Information ModelAmit Srivastava, Harsh Chilwal, and Srivatsa Vasudevan2019Presentationy2019presentation
UVM and UPF: an application of UPF Information ModelAmit Srivastava, Harsh Chilwal, and Srivatsa Vasudevan2019Papery2019paper
UVM Based Approach To Model Validation For SV-RNM Behavioral ModelsDonald Lewis and Courtney Fricano2016Papery2016paper
UVM Based Approach To Model Validation For SV-RNM Behavioral ModelsDonald Lewis and Courtney Fricano2016Postery2016poster
UVM Based Mixed-Signal Verification of a Display PMIC Designed for OLED Display ApplicationsVijay Kumar & Adnan Malik2023Postery2023poster
UVM Based Mixed-Signal Verification of a Display PMIC Designed for OLED Display ApplicationsVijay Kumar & Adnan Malik2023Papery2023paper
UVM Do’s and Don’ts for Effective VerificationKathleen Meade and Sharon Rosenberg2012Papery2012paper
UVM for HLS: An Expedient Approach to the Functional Verification of HLS DesignsDave Burgoon and Robert Havlik2018Papery2018paper
UVM for HLS: An Expedient Approach to the Functional Verification of HLS DesignsDave Burgoon and Robert Havlik2018Presentationy2018presentation
UVM IEEE Shiny ObjectRich Edelman2019Presentationy2019presentation
UVM IEEE Shiny ObjectRich Edelman and Moses Satyasekaran2019Papery2019paper
UVM Interactive Debug Library: Shortening the Debug Turnaround TimeHorace Chan2017Presentationy2017presentation
UVM Interactive Debug Library: Shortening the Debug Turnaround TimeHorace Chan2017Papery2017paper
UVM Layering for Protocol Modeling Using State PatternTony George, Girish Gupta, Shim Hojun, and Byung C. Yoo2020Presentationy2020presentation
UVM Random StabilityAvidan Efody2012Papery2012paper
UVM Rapid Adoption: A Practical Subset of UVMStuart Sutherland and Tom Fitzpatrick2015Presentationy2015presentation
UVM Rapid Adoption: A Practical Subset of UVMStuart Sutherland and Tom Fitzpatrick2015Papery2015paper
UVM Reactive Stimulus TechniquesCliff Cummings, Heath Chambers, and Stephen Donofrio2020Presentationy2020presentation
UVM Register Modelling at the Integration- Level TestbenchWayne Yun2016Papery2016paper
UVM Sans UVM An approach to automating UVM testbench writingRich Edelman and Shashi Bhutada2015Presentationy2015presentation
UVM Sans UVM: An approach to automating UVM testbench writingRich Edelman and Shashi Bhutada2015Papery2015paper
UVM SchmooVM – I Want My C Tests!Rich Edelman and Raghu Ardeishar2014Papery2014paper
UVM SchmooVM! – I Want My C Tests!Rich Edelman and Raghu Ardeishar2014Postery2014poster
UVM Testbench Considerations for AccelerationKathleen A Meade2014Papery2014paper
UVM Testbench Considerations for AccelerationKathleen A Meade2014Presentationy2014presentation
UVM testbench design for ISA functional verification of a microprocessorGabriel Wang, Hongtao Ma, and Maoduo Sun2018Postery2018poster
UVM testbench design for ISA functional verification of a microprocessorGabriel Wang, Hongtao Ma, and Maoduo Sun2018Papery2018paper
UVM Transaction Recording EnhancementsRex Chen, Bindesh Patel, and Jun Zhao2011Papery2011paper
UVM Verification Environment Based on Software Design PatternsD. M. Tomušilović and H. J. Arbel2018Papery2018paper
UVM Verification Environment Based on Software Design PatternsDarko M. Tomušilović and Hagai Arbel2018Presentationy2018presentation
UVM Working Group Releases 1800.2-2020-2.0 LibrarySrivatsa Vasudevan, Jamsheed Agahi, Mark Strickland2023Presentationy2023presentation
UVM-based verification of a RISC-V Processor Core Using a Golden predictor model and a Configuration LayerMarcela Zachariasova, Lubos Moravec, John Stickley, Hans van der Schoot, and Shakeel Jeeawoody2018Presentationy2018presentation
UVM-based Verification of a RISC-V Processor Core Using a Golden Predictor Model and a Configuration LayerMarcela Zachariasova, Lubos Moravec, John Stickley, Hans van der Schoot, and Shakeel Jeeawoody2018Papery2018paper
UVM-FM: Reusable Extension Layer for UVM to Simplify Functional ModelingAhmed Kamal2018Papery2018paper
UVM-FM: Reusable Extension Layer for UVMto Simplify Functional ModelingAhmed Kamal2018Postery2018poster
UVM-SV Feedback Loop – The Foundation of Self-Improving TestbenchesAndrei Vintila, Sergiu Duda2023Papery2023paper
UVM-SV Feedback Loop – The foundation of Self-Improving TestbenchesAndrei Vintila, Sergiu Duda2023Presentationy2023presentation
UVM: Conquering LegacySantosh Sarma, Amit Sharma, and Adiel Khan2013Postery2013poster
UVM’s MAM to the RescueMichael Baird2015Papery2015paper
UVM’s MAM to the RescueMichael Baird2015Presentationy2015presentation
UVM/SystemVerilog based infrastructure and testbench automation using scriptsPrakash Parikh2014Presentationy2014presentation
UVM/SystemVerilog based infrastructure and testbench automation using scriptsPrakash Parikh2014Papery2014paper
Verification 2.0 – Multi-Engine, Multi-Run AI Driven VerificationMatt Graham2023Presentationy2023presentation
Verification Environment Automation from RTLZhidong Chen, Yunyang Song, Wenting Hou, Junna Qiao, Junxia Wang, Ling Bai, and Kei-Wang Yiu2015Postery2015poster
Verification Environment Automation from RTLZhidong Chen, Yunyang Song, Wenting Hou, Junna Qiao, Junxia Wang, Ling Bai, and Kei-Wang Yiu2015Papery2015paper
Verification Learns a New Language: – An IEEE 1800.2 ImplementationRay Salemi, Tom Fitzpatrick2021Papery2021paper
Verification Macros: Maintain the integrity of verifiable IP UPF through integrationAmit Srivastava, Shreedhar Ramachandra2023Papery2023paper
Verification Macros: Maintain the integrity of verifiable IP UPF through integrationAmit Srivastava, Shreedhar Ramachandra2023Postery2023poster
Verification Mind GamesJeffrey Montesano and Mark Litterick2014Papery2014paper
Verification Mind GamesJeffrey Montesano and Mark Litterick2014Postery2014poster
Verification of Accelerators in System ContextRussell A. Klein2019Postery2019poster
Verification of an Image Processing Mixed-Signal ASICKevin Buescher, Milos Becvar, Greg Tumbush, and David Jenkins2016Papery2016paper
Verification of Clock Domain Crossing Jitter and Metastability Tolerance using EmulationAshish Hari, Suresh Krishnamurthy, Amit Jain, and Yogesh Badaya2012Papery2012paper
Verification of Inferencing Algorithm AcceleratorsRussell Klein, Petri Solanti2023Presentationy2023presentation
Verification Patterns – Taking Reuse to the Next LevelHarry Foster, Michael Horn, Bob Oden, Pradeep Salla, and Hans van der Schoot2016Papery2016paper
Verification Patterns in the Multicore SoC DomainGordon Allan2011Papery2011paper
Verification Reuse for a Non-Transaction Based Design across Multiple PlatformsLuis Li, Pablo Salazar, and Andrés Cordero2019Postery2019poster
Verification strategy for pipeline type of designDjuro Grubor2018Papery2018paper
Verification Strategy for Pipeline Type of DesignDjuro Grubor2018Postery2018poster
Verification with multi-core parallel simulations: Have you found your sweet spot yet?Rohit K Jain and Shobana Sudhakar2016Papery2016paper
Verification with multi-core parallel simulations: Have you found your sweet spot yet?Rohit K Jain and Shobana Sudhakar2016Postery2016poster
Verifying clock-domain crossing at RTL IP level using coverage-driven methodologyJean-François Vizier, Dennis Ramaekers, and Zheng Hai Zhou2010Papery2010paper
Verifying functionality is simply not enoughRajesh Bawankule2013Postery2013poster
Verifying functionality is simply not enoughRajesh Bawankule2013Papery2013paper
Verifying Layered Protocols – Leveraging Advanced UVM CapabilitiesParag Goel and Amit Sharma2013Papery2013paper
Verifying Layered Protocols – Leveraging Advanced UVM CapabilitiesParag Goel2013Presentationy2013presentation
Verifying Multiple DUV Representations with a Single UVM-e TestbenchMatt Graham2014Papery2014paper
Verifying Multiple DUV Representations with a Single UVM-e TestbenchMatt Graham2014Postery2014poster
Verifying RO registers: Challenges and the solutionIvana Dobrilovic2023Papery2023paper
Verifying RO registers: Challenges and the solutionIvana Dobrilovic2023Presentationy2023presentation
Versatile UVM ScoreboardingJacob Andersen, Peter Jensen, and Kevin Steffensen2015Papery2015paper
Versatile UVM ScoreboardingJacob Andersen, Peter Jensen, and Kevin Steffensen2015Postery2015poster
VHDL 2018 New and NoteworthyHendrik Eeckhaut and Lieven Lemiengre2018Presentationy2018presentation
VHDL 2018: New and NoteworthyL. Lemiengre and H. Eeckhaut2018Papery2018paper
VIP ShieldingJeremy Ridgeway and Karishma Dhruv2014Papery2014paper
VIP ShieldingJeremy Ridgeway and Karishma Dhruv2014Postery2014poster
Virtual Platforms to Shift-Left Software Development and System VerificationRoss Dickson and Pankaj Kakkar2022Presentationy2022presentation
Virtual Sequencers & Virtual SequencesClifford E. Cummings and Janick Bergeron2016Presentationy2016presentation
Virtual Test: Simulating ATE Vectors in a SystemVerilog Testbench for Faster Time to MarketJohn Mackintosh, Matthew Borto, Cecil Stone, David Brownell, and Courtney Fricano2015Papery2015paper
Virtual Test: Simulating ATE Vectors in a SystemVerilog Testbench for Faster Time to MarketJohn Mackintosh, Matthew Borto, Cecil Stone, David Brownell, and Courtney Fricano2015Postery2015poster
Want a Boost in your Regression Throughput? Simulate common setup phase only once.Rohit K Jain and Shobana Sudhakar2015Papery2015paper
Want a Boost in your Regression Throughput? Simulate common setup phase only once.Rohit K Jain and Shobana Sudhakar2015Postery2015poster
Watch Out! Generating Coordinated Random Traffic in UVMNigasan Ragunathan, Christine Thomson2021Papery2021paper
Weathering the Verification Storm: Methodology Enhancements used on a Next Generation Weather Satellite C&DH ProgramMichael Donnelly and Michael Horn2013Papery2013paper
Weathering the Verification Storm: Methodology Enhancements used on a Next Generation Weather Satellite CDH ProgramMichael Horn2013Presentationy2013presentation
What Does The Sequence Say? Powering Productivity with PolymorphismRich Edelman2022Presentationy2022presentation
What Does The Sequence Say? Powering Productivity with PolymorphismRich Edelman2022Papery2022paper
What Ever Happened to AOP?James Strober, P.Eng, and Corey Goss2015Papery2015paper
What Ever Happened to AOP?James Strober, P.Eng, and Corey Goss2015Presentationy2015presentation
What I Wish My Regression Run Manager’s Vendor Knew!David Crutchfield, Brian Craw, Jason Lambirth2023Papery2023paper
What I Wish My Regression Run Manager’s Vendor Knew!Brian Craw, David Crutchfield, Jason Lambirth2023Presentationy2023presentation
What is new in IP-XACT Std. IEEE 1685-2022?Richard Weber, Edwin Dankert2023Presentationy2023presentation
What Time Is It: Implementing a SystemVerilog Object-Oriented Wrapper for Interacting with the C Library timeEldon Nelson M.S. P.E.2018Papery2018paper
What Time Is It: Implementing a SystemVerilog Object-Oriented Wrapper for Interacting with the C Library timeEldon Nelson M.S. P.E.2018Postery2018poster
What Your Software Team Would Like the RTL Team to Know.Josh Rensch2020Presentationy2020presentation
What’s Next for SystemVerilog in the Upcoming IEEE 1800 standardDave Rich2023Presentationy2023presentation
What’s Next for SystemVerilog in the Upcoming IEEE 1800 standardDave Rich2023Papery2023paper
Where OOP Falls Short of Hardware Verification NeedsMatan Vax2010Papery2010paper
Who’s Watching the Watchmen? The Time has Come to Objectively Measure the Quality of Your VerificationDavid Brownell2013Presentationy2013presentation
Who’s Watching the Watchmen? The Time has Come to Objectively Measure the Quality of Your Verification EnvironmentDavid Brownell2013Papery2013paper
Whose Fault Is It Formally? Formal Techniques for Optimizing ISO 26262 Fault Analysis.Ping Yeung, Doug Smith, and Abdelouahab Ayari2018Presentationy2018presentation
Whose Fault Is It Formally? Formal Techniques for Optimizing ISO 26262 Fault Analysis.Ping Yeung, Doug Smith, and Abdelouahab Ayari2018Papery2018paper
Whose fault is it? Advanced techniques for optimizing ISO 26262 fault analysisAvidan Efody2016Presentationy2016presentation
Whose fault is it? Advanced techniques for optimizing ISO 26262 fault analysisAvidan Efody2016Papery2016paper
Why Not “Connect” Using UVM Connect: Mixed Language Communication Got Easier with UVMCVishal Baskar2022Postery2022poster
Why Not “Connect” Using UVM Connect: Mixed Language Communication Got Easier with UVMCVishal Baskar2022Papery2022paper
Wiretap your SoCAvidan Efody2014Papery2014paper
Wiretap your SoC: Why scattering Verification IPs throughout your design is a smart thing to doAvidan Efody2014Presentationy2014presentation
With Great Power Comes Great Responsibility A method to verify PMICs with UVM-MSDor Spigel and Moshik Hershcovitch2014Presentationy2014presentation
With great power comes great responsibility: A method to verify PMICs using UVM-MSDor Spigel and Moshik Hershcovitch2014Papery2014paper
Wrapping Verilog Bus Functional Model (BFM) and RTL as Drivers in Customized UVM VIP Using Abstract ClassesRoman Wang and Thomas Bodmer2015Papery2015paper
Wrapping Verilog Bus Functional Model (BFM) and RTL as Drivers in Customized UVM VIP Using Abstract ClassesRoman Wang and Thomas Bodmer2015Postery2015poster
X-Propagation Woes: Masking Bugs at RTL and Unnecessary Debug at the NetlistLisa Piper and Vishnu Vimjam2012Papery2012paper
X-propagation Woes: Masking Bugs at RTL and Unnecessary Debug at the NetlistLisa Piper2012Presentationy2012presentation
YAMM Yet Another Memory ManagerAndrei Vintila, Ionut Tolea, and Teodor Vasilache2017Presentationy2017presentation
Yet Another Memory Manager (YAMM)Ionut Tolea, Andrei Vintila, and Cosmin-Teodor Vasilache2017Papery2017paper
Yikes! Why is My SystemVerilog Still So Slooooow?Cliff Cummings, John Rose, and Adam Sherer2019Papery2019paper
Yikes! Why is My SystemVerilog Testbench So Slooooow?Frank Kampf2012Papery2012paper
Yikes! Why is my SystemVerilog Testbench So Slooooow?Justin Sprague2012Presentationy2012presentation
You Are In a Maze of Twisty Little Sequences, All Alike – or Layering Sequences for Stimulus AbstractionRich Edelman, Adam Rose, Andreas Meyer, Raghu Ardeishar, and Jason Polychronopoulos2010Papery2010paper