Design and Verification of an Image Processing CPU using UVM

Author(s):
Milos Becvar and Greg Tumbush
Type:
Paper