Is the simulator behavior wrong for my SystemVerilog code?

Author(s):
Weihua Han