Overcoming AXI Asynchronous Bridge Verification Challenges with AXI Assertion-Based Verification IP (ABVIP) and Formal Datapath Scoreboards

Author(s):
Bochra El-Meray and Jörg Müller
Type:
Presentation