Is Your Testing N-wise or Unwise? Pairwise and N-wise Patterns in SystemVerilog for Efficient Test Configuration and Stimulus

Author(s):
Jonathan Bromley and Kevin Johnston
Location:
Europe
Year:
2015
Type:
Paper
Format:
pdf