An Automated Systematic CDC Verification Methodology based on SDC Setup

Author(s):
Ashish Hari, Sulabh Kumar Khare
Location:
India
Year:
2014
Type:
Presentation
Format:
pptx