An Automated Systematic CDC Verification Methodology based on SDC Setup

Author(s):
Ashish Hari, Sulabh Kumar Khare