1,2,3,…8 simple steps towards a single digital signal processing testbench supporting heterogeneous interfaces and datatypes | Nico Lugil | 2016 | Presentation | | y2016 | presentation |
1,2,3,…8 simple steps towards a single digital signal processing testbench supporting heterogeneous interfaces and datatypes | Nico Lugil | 2016 | Paper | | y2016 | paper |
400G IPU Case Study: Demonstrating Shift-left of Soft Logic RTL Development on Real World Design using Intel Agilex FPGA SystemC Model | Pravat K Nayak, Vikrant Kapila, Pushpa Naik & Niketkumar Sharma | 2023 | Paper | | y2023 | paper |
5G – Chances and Challenges from Test & Measurement Perspective | Meik Kottkamp | 2017 | Presentation | | y2017 | presentation |
5G / NR Explained From 3GPP 5G Standard Fundamentals To 5G Enabled Verticals | Michael Faerber and Kilian Roth | 2019 | Presentation | | y2019 | presentation |
5G for people and things Spectrum Opportunities and Challenges of 5G | | 2017 | Presentation | | y2017 | presentation |
A comparison of methodologies to simulate mixed-signal IC | Simone Fontanesi, Karsten Einwich, Paul Ehrlich, Gaetano Formato, Andrea Possemato | 2021 | Paper | | y2021 | paper |
A Compositional Simulation Framework for Testing Adversarial Robustness of Deep Neural Networks | Youssef Maher Nader; Mostafa Lotfy Hatab; Mazen Mostafa Ghaleb; Safia Medhat Bakr; Tasneem A. Awaad; Ahmed AlGanzouri; Mohamed Abdelsalam; M. Watheq El-Kharashi | 2023 | Paper | | y2023 | paper |
A Compositional Simulation Framework for Testing Adversarial Robustness of Deep Neural Networks | Youssef Maher Nader, Mostafa Lotfy Hatab, Mazen Mostafa Ghaleb, Safia Medhat Bakr, Tasneem A. Awaad, Ahmed AlGanzouri, Mohamed Abdelsalam, and M. Watheq El-Kharashi | 2023 | Paper | | y2023 | paper |
A Comprehensive Verification Platform for RISC-V based Processors | Emre Karabulut, Berk Kisinbay, Abdullah Yildiz and Rifat Demircioglu | 2020 | Paper | | y2020 | paper |
A Comprehensive Verification Platform for RISC-V based Processors | Emre Karabulut, Berk Kisinbay, Abdullah Yildiz, and Rifat Demircioglu | 2020 | Presentation | | y2020 | presentation |
A concept for expanding a UVM testbench to the analog-centric toplevel | Felix Assmann, Axel Strobel and Hans Zander | 2015 | Paper | | y2015 | paper |
A concept for expanding a UVM testbenchto the analog-centric toplevel | Felix Assmann, Axel Strobel and Hans Zander | 2015 | Poster | | y2015 | poster |
A Cross-domain Heterogeneous ABV-Library for Mixed-signal Virtual Prototypes in SystemC/AMS | Muhammad Hassan, Thilo Vörtler, Karsten Einwich, Rolf Drechsler, Daniel Große | 2022 | Paper | | y2022 | paper |
A Cross-domain Heterogeneous ABV-Library for Mixed-signal Virtual Prototypes in SystemC/AMS | Muhammad Hassan, Thilo Vörtler, Karsten Einwich, Rolf Drechsler, Daniel Große | 2022 | Presentation | | y2022 | presentation |
A Framework for AMS Verification IP development with SystemVerilog, UVM and Verilog-AMS | Mike Bartley and Jeganath Gandhi R | 2014 | Paper | | y2014 | paper |
A Framework for AMS Verification IP development with SystemVerilog, UVM and Verilog-AMS | Mike Bartley, Jeganath Gandhi R | 2014 | Paper | | y2014 | paper |
A Framework for the Execution of Python Tests in SystemC and Specman Testbenches | Christoph Tietz, Sebastian Stieber , Najdet Charaf, Diana Göhringer | 2022 | Paper | | y2022 | paper |
A Framework for the Execution of Python Tests in SystemC and Specman Testbenches | Christoph Tietz, Sebastian Stieber, Najdet Charaf, Diana Göhringer | 2022 | Presentation | | y2022 | presentation |
A Generic Approach to Handling Sideband Signals | Markus Brosch and Salman Tanvir | 2019 | Presentation | | y2019 | presentation |
A Generic Configurable Error Injection Agent for On-Chip Memories | Niharika Sachdeva, Arjun Suresh Kumar, Damandeep Saini, Anil Deshpande, Ravi Teja Gopagiri, Somasunder KS, Jaechul Park | 2022 | Paper | | y2022 | paper |
A Generic Configurable Error Injection Agent for All On-Chip Memories | Anil Deshpande, Jaechul Park, Niharika Sachdeva, Arjun Suresh Kumar, Raviteja Gopagiri, Somasund Kattepura Sreenath, Damandeep Saini | 2022 | Presentation | | y2022 | presentation |
A Guide To Using Continuous Integration Within The Verification Environment | Jason Sprott, André Winkelmann, and Gordon McGregor | 2014 | Paper | | y2014 | paper |
A Guide To Using Continuous Integration Within The Verification Environment | André Winkelmann, Jason Sprott, and Gordon McGregor | 2014 | Poster | | y2014 | poster |
A Hybrid Approach For Interrupts Verification | Giovanni Auditore, Francesco Rua’, Qibo Peng | 2023 | Presentation | | y2023 | presentation |
A Hybrid Approach To Interrupt Verification | Giovanni Auditore, Francesco Rua’, Qibo Peng | 2023 | Paper | | y2023 | paper |
A Hybrid Channel for Co-Simulation of Behavioral SystemC IP with its Full System Prototype on FPGA | Antonis Papagrigoriou, Miltos D. Grammatikakis and Voula Piperaki | 2018 | Presentation | | y2018 | presentation |
A Hybrid Channel for Co-Simulation of Behavioral SystemC IP with its Full System Prototype on FPGA* | Antonis Papagrigoriou, Antonis Papagrigoriou and Voula Piperaki | 2018 | Paper | | y2018 | paper |
A Meta-Model-Based Approach for Semantic Fault Modeling on Multiple Abstraction Levels | Michael Schwarz and Moomen Chaari, Bogdan-Andrei Tabacaru | 2015 | Paper | | y2015 | paper |
A Meta-Model-Based Approach for Semantic Fault Modeling on Multiple Abstraction Levels | M. Schwarz M. Chaari, B.-A. Tabacaru, and W. Ecker | 2015 | Presentation | | y2015 | presentation |
A Meta-Modeling-Based Approach for Automatic Generation of Fault-Injection Processes | Bogdan-Andrei Tabacaru, Moomen Chaari, Wolfgang Ecker, and Thomas Kruse | 2014 | Paper | | y2014 | paper |
A Meta-Modeling-Based Approach for Automatic Generation of Fault-Injection Processes | B.-A. Tabacaru, M. Chaari, W. Ecker, and T. Kruse | 2014 | Presentation | | y2014 | presentation |
A Methodology for Evaluating SI Artefacts in DDR4-3DS PHY using Channel Modelling | Aditya S Kumar, Gowdra Bomanna Chethan, Shivani Maurya, Anil Deshpande, Somasunder Kattepura Sreenath | 2021 | Paper | | y2021 | paper |
A Methodology to Verify Functionality, Security, and Trust for RISC-V Cores | W. W. Chen, N. Tusinschi and T. L. Anderson | 2020 | Paper | | y2020 | paper |
A Methodology to Verify Functionality, Security, and Trust for RISC-V Cores | W. W. Chen, N. Tusinschi, and T. L. Anderson | 2020 | Presentation | | y2020 | presentation |
A Metric-driven Methodology For Firmware Verification In Simulation/Emulation Environments | Goran Savić | 2016 | Paper | | y2016 | paper |
A Mixed Signal System Design Methodology in SystemC AMS for Automotive Audio Power Amplifiers | Skule Pramm, Joen Westendorp, and Quino Sandifort | 2019 | Paper | | y2019 | paper |
A Model-Based Reusable Framework to Parallelize Hardware and Software Development | Jouni Sillanpää, Håkan Pettersson & Tom Richter | 2023 | Paper | | y2023 | paper |
A Mutually-Exclusive Deployment of Formal and Simulation Techniques Using Proof-Core Analysis | Keerthikumara Devarajegowda, Jeroen Vliegen, Goran Petrovity, and Kawe Fotouhi | 2017 | Presentation | | y2017 | presentation |
A Mutually-Exclusive Deployment of Formal and Simulation Techniques Using Proof-Core Analysis | Keerthikumara Devarajegowda, Jeroen Vliegen, Goran Petrovity, and Kawe Fotouhi | 2017 | Paper | | y2017 | paper |
A New Approach to Low-Power Verification: Low Power Apps | Madhur Bhargava and Awashesh Kumar | 2018 | Paper | | y2018 | paper |
A NOVEL AND EFFICIENT METHODOLOGY TO EXPEDITE COMPLEX SOC DV CLOSURE BY LEVERAGING MODULARLY ARCHITECTURED SCALABLE ENVIRONMENT | Vinay Swargam, Guttapalem Yatisha, Ayush Agrawal, Sriram Kazhiyur Soundarrajan, Somasunder Kattepura Sreenath | 2022 | Paper | | y2022 | paper |
A novel approach to expedite MCU verification and enabling efficacious inter-processor communication in a multiprocessor SoC | Harshal Kothari, Manishadevi Satyanarayana Cheernam, Vignesh Adiththan, Sriram Kazhiyur Sounderrajan, Somasunder Kattepura Sreenath | 2022 | Paper | | y2022 | paper |
A novel approach to expedite MCU verification and enabling efficacious inter-processor communication in a multiprocessor SoC | Harshal Kothari, Manishadevi Satyanarayana Cheernam, Vignesh Adiththan, Sriram Kazhiyur Sounderrajan, Somasunder Kattepura Sreenath | 2022 | Poster | | y2022 | poster |
A novel approach to expedite MCU verification and enabling efficacious inter-processor communication in a multiprocessor SoC | Harshal Kothari, Manishadevi Satyanarayana Cheernam, Vignesh Adiththan, Sriram Kazhiyur Sounderrajan, Somasunder Kattepura Sreenath | 2022 | Presentation | | y2022 | presentation |
A Novel Approach to Expedite Verification Cycle using an Adaptive and Performance Optimized Simulator Independent Verification Platform Development | Harshal Kothari, Vinay Swargam, Sriram Kazhiyur Soundarrajan, Somasunder Kattepura Sreenath | 2022 | Paper | | y2022 | paper |
A Novel Approach to Expedite Verification Cycle using an Adaptive and Performance Optimized Simulator Independent Verification Platform Development | Harshal Kothari, Vinay Swargam, Sriram Kazhiyur Soundarrajan, Somasunder Kattepura Sreenath | 2022 | Poster | | y2022 | poster |
A Novel Approach to Expedite Verification Cycle using an Adaptive and Performance Optimized Simulator Independent Verification Platform Development | Harshal Kothari, Vinay Swargam, Sriram Kazhiyur Soundarrajan, Somasunder Kattepura Sreenath | 2022 | Presentation | | y2022 | presentation |
A Novel Approach to Functional Test Development and Execution using High-Speed IO | Marcus Schulze Westenhorst, Jörg Simon, Markus Bücker, Klaus Dieter Hilliges, Michael Braun | 2021 | Paper | | y2021 | paper |
A NOVEL APPROACH TO HARDWARE CONTROLLED POWER AWARE VERIFICATION WITH OPTIMISED POWER CONSUMPTION TECHNIQUES AT SOC | Eldin Ben Jacob, Harshal Kothari, Sriram Kazhiyur Soundarrajan, Somasunder Kattepura Sreenath | 2022 | Presentation | | y2022 | presentation |
A NOVEL APPROACH TO HARDWARE CONTROLLED POWER AWARE VERIFICATION WITH OPTIMISED POWER CONSUMPTION TECHNIQUES AT SOC | Eldin Ben Jacob, Harshal Kothari, Sriram Kazhiyur Soundarrajan, Somasunder Kattepura Sreenath | 2022 | Paper | | y2022 | paper |
A Novel Approach To In-System SRAM Repair Verification in Embedded SoC to Bridge Gap between Test and Functional Mode | Harshal Kothari, Eldin Ben Jacob, Ajay Vamshi Krishna, Sriram Kazhiyur Sounderrajan, Somasunder Kattepura Sreenath | 2021 | Paper | | y2021 | paper |
A Novel Approach to Reuse Firmware for Verification of Controller based Sub-Systems using PSS | Vishnu Ramadas, Simranjit Singh, Ashwani Aggarwal, Woojoo Space Kim , Seonil Brian Choi | 2021 | Paper | | y2021 | paper |
A novel approach to standardize reusable Modular Plug and Play Skeleton Structure (MPPSS) to expedite verification closure | Himanshu Dixit, Chandrachud Murali, Sriram Kazhiyur Soundarrajan, Somasunder Kattepura Sreenath | 2022 | Paper | | y2022 | paper |
A novel approach to standardize reusable Modular Plug and Play Skeleton Structure (MPPSS) to expedite verification closure | Chandrachud Murali, Himanshu Dixit, Sriram Kazhiyur Soundarrajan, Somasunder Kattepura Sreenath | 2022 | Poster | | y2022 | poster |
A Novel Approach to Standardize Verification Configurations using YAML | Nikhil Tambekar | 2023 | Paper | | y2023 | paper |
A Novel Approach to Standardize Verification Configurations using YAML | Nikhil Tambekar | 2023 | Presentation | | y2023 | presentation |
A Novel Framework to Accelerate System Validation on Emulation | Manoj Sharma Khandelwal, Rinkesh Yadav, Sarang Kalbande & Garima Srivastav | 2023 | Paper | | y2023 | paper |
A Novel Performance Evaluation Methodology using Virtual Prototyping and Emulation | WoojooSpace Kim | 2019 | Presentation | | y2019 | presentation |
A Novel Performance Evaluation Methodology using Virtual Prototyping and Emulation | Woojoo Space Kim | 2018 | Presentation | | y2018 | presentation |
A Novel Processor Verification Methodology based on UVM | Abhineet Bhojak, Tejbal Prasad, and Stephan Herrmann | 2015 | Paper | | y2015 | paper |
A perfect blend of verification techniques, platforms, and AI-empowered debugging in Ethernet Subsystem Verification | Olivera Stojanovic & Tijana Misic | 2023 | Paper | | y2023 | paper |
A Pragmatic Approach to Metastability-Aware Simulation | Joseph Bulone, Roger Sabbagh | 2014 | Paper | | y2014 | paper |
A Pragmatic Approach to Metastability-Aware Simulation | Joseph Bulone, Roger Sabbagh | 2014 | Presentation | | y2014 | presentation |
A real world application of IP-XACT for IP packaging Bridging the usability gap | Philip Todd | 2014 | Paper | | y2014 | paper |
A real world application of IP-XACT for IP packaging Bridging the usability gap | Philip Todd | 2014 | Presentation | | y2014 | presentation |
A Reconfigurable Interface Architecture to Protect System IP | Arshad Riazuddin, Shoab A. Khan | 2022 | Paper | | y2022 | paper |
A Reconfigurable Interface Architecture to Protect System IP | Arshad Riazuddin, Shoab A. Khan | 2022 | Presentation | | y2022 | presentation |
A scalable VIP component to increase robustness of co-verification within an ASIC | Mario de Matteis, Matteo Barbati | 2023 | Presentation | | y2023 | presentation |
A scalableVIP component to increase robustness of co-verification within an ASIC | Mario de Matteis & Matteo Barbati | 2023 | Paper | | y2023 | paper |
A Shift-left Methodology for an Early Power Closure Using EDCs and Power Analysis | Mohammed Fahad | 2022 | Presentation | | y2022 | presentation |
A shift-left Methodology for an early power closure using PowerPro | Mohammed Fahad | 2022 | Paper | | y2022 | paper |
A Step Towards Zero Silicon Bugs Using Assertion Based Assumption Validation | Rohit Kumar Sinha and Babu Christie | 2020 | Paper | | y2020 | paper |
A Step towards Zero Silicon Bugs: SVA Protocol Based Assumption Validation | Rohit Kumar Sinha and Babu Christie | 2020 | Poster, Presentation | | y2020 | poster presentation |
A Structured Approach to verify Ties, Unconnected Signals and Parameters | Saurabh Singh, Peter Limmer, and Thomas Luedeke | 2016 | Presentation | | y2016 | presentation |
A Structured Approach to verify Ties, Unconnected Signals and Parameters | Saurabh Singh, Peter Limmer, and Thomas Luedeke | 2016 | Paper | | y2016 | paper |
A SystemC-based UVM verification infrastructure | Mike Bartley and Harshavardhan Narla | 2015 | Paper | | y2015 | paper |
A SystemC-based UVM verification infrastructure | Mike Bartley and Harshavardhan Narla | 2015 | Poster | | y2015 | poster |
A Tool for Investigating Cyber-Physical Systems via SystemC AMS Virtual Prototypes Derived from SysML Models | Daniela Genius; Ludovic Apvrille | 2023 | Paper | | y2023 | paper |
A UVM Based Methodology for Processor Verification | Abhineet Bhojak, Stephan Herrmann, and TejbalPrasad | 2015 | Presentation | | y2015 | presentation |
A UVM SystemVerilog Testbench for 5G/LTE Multi-Standard RF Transceiver | Byeong Kyu Kim, Jaeha Kim | 2022 | Paper | | y2022 | paper |
A UVM SystemVerilog Testbench for 5G/LTE Multi-Standard RF Transceiver | ByeongKyu Kim, Jaeha Kim | 2022 | Presentation | | y2022 | presentation |
A UVM Test-bench Skeleton Leveraging the Event Pool and Sequence Layering | Marcela Zachariasova, Jiri Bartak, Tomas Pehnelt & Jan Riha | 2023 | Paper | | y2023 | paper |
Accelerate Functional Coverage Closure Using Machine-Learning-Based Test Selection | Jakub Pluciński, Łukasz Bielecki, Robert Synoczek, Emelie Andersson, Antii Löytynoja, Cristian Macario | 2023 | Presentation | | y2023 | presentation |
Accelerate Functional Coverage Closure Using Machine-Learning-Based Test Selection | Jakub Pluciński, Łukasz Bielecki, Robert Synoczek, Emelie Andersson, Antii Löytynoja & Cristian Macario | 2023 | Paper | | y2023 | paper |
Accelerated Coverage Closure by Utilizing Local Structure in the RTL Code | Rhys Buggy, Gokce Sarar, Guillaume Shippee, Han Nuou, Vishal Karna, Tushit Jain | 2021 | Paper | | y2021 | paper |
Accelerated SOC verification Using UVM Methodology for a Mix-signal Low Power Design | Giuseppe Scata, Ashwini Padoor, Vladimir Milosevic | 2014 | Paper | | y2014 | paper |
Accelerated SOC Verification Using UVM Methodology for a Mixed-Signal Low Power Design | Giuseppe Scata, Ashwini Padoor, Vladimir Milosevic | 2014 | Presentation | | y2014 | presentation |
Accelerating and Improving FPGA Design Reviews Using Analysis Tools | Anna Tseng, Kurt Takara and Abdelouahab Ayari | 2020 | Paper | | y2020 | paper |
Accelerating and Improving FPGA Design Reviews Using Analysis Tools | Abdelouahab Ayari, Anna Tseng, and Kurt Takara | 2020 | Presentation | | y2020 | presentation |
Accelerating Automotive Ethernet validation by leveraging Synopsys Virtualizer with TraceCompass | Ashish Gandhi, Praveen Kumar Kondugari and Sam Tennent | 2020 | Paper | | y2020 | paper |
Accelerating Automotive Ethernet validation by leveraging Synopsys Virtualizer with TraceCompass | Ashish Gandhi, Praveen Kumar Kondugari, and Sam Tennent | 2020 | Presentation | | y2020 | presentation |
Accelerating Complex System Simulation using Parallel SystemC and FPGAs | Stanislaw Kaushanski, Johannes Wirth, Eyck Jentzsch, Andreas Koch | 2023 | Presentation | | y2023 | presentation |
Accelerating Complex System Simulation using Parallel SystemC and FPGAs | Stanislaw Kaushanski, Johannes Wirth, Eyck Jentzsch & Andreas Koch | 2023 | Paper | | y2023 | paper |
Accelerating Functional Verification Coverage Data Manipulation Using Map Reduce | Eman El Mandouh, A. Gamal, A. Khaled, B. Amr G. Wassal, and Elsayed Hemayed | 2017 | Presentation | | y2017 | presentation |
Accelerating Functional Verification Coverage Data Manipulation Using Map Reduce | Eman El Mandouh, A. Gamal, A. Khaled, T. Ibrahim, Amr G. Wassal, Elsayed Hemayed | 2017 | Paper | | y2017 | paper |
Accelerating RTL Simulation Techniques | Lior Grinzaig | 2015 | Paper | | y2015 | paper |
Accelerating RTL Simulation Techniques | Lior Grinzaig | 2015 | Presentation | | y2015 | presentation |
Acceleration of product and test environment development using SystemC-TLM | Florian Barrau, Alexandre Piccini, Alexandre Nabais Moreno, Mark Burton, Luc Michel, Clement Descha | 2018 | Paper | | y2018 | paper |
Acceleration of product and test environment using SystemC TLM | Florian Barrau, Alexandre Piccini, Alexandre Nabais Mark Burton, Luc Michel, and Clement Deschamps | 2018 | Presentation | | y2018 | presentation |
Accellera FS WG Update | Alessandra Nardi, Ghani Kanawati | 2022 | Presentation | | y2022 | presentation |
Accellera Functional Safety Working Group Update and Next Steps | Alessandra Nardi, Ghani Kanawati | 2023 | Presentation | | y2023 | presentation |
Accellera Systems InitiativeSystemC Standards Update | Martin Barnasconi, Philipp A. Hartmann, Trevor Wieman | 2014 | Presentation | | y2014 | presentation |
Accellera Systems InitiativeSystemC Standards Update | Martin Barnasconi, Philipp A. Hartmann, and Stephan Schulz | 2015 | Presentation | | y2015 | presentation |
Achieve Complete SoC Memory Map Verification Through Efficient Combination of Formal and Simulation Techniques | Clemens Roettgermann, Peter Limmer, and Michael Rohleder | 2015 | Paper | | y2015 | paper |
Achieve Complete SoC Memory Map Verification through Efficient Combination of Formal and Simulation Techniques | Clemens Roettgermann, Peter Limmer, and Michael Rohleder | 2015 | Presentation | | y2015 | presentation |
Achieving Faster Code Coverage Closure using High-Level Synthesis | Surendhar Thudukuchi Chandrapandiyan, Preetham Lakshmikanthan, Ashwani Aggarwal, Youngchan Lee, Youngsik Kim, Seonil Brian Choi | 2021 | Paper | | y2021 | paper |
Achieving Faster Reset Verification Closure with Intelligent Reset Domain Crossings Detection | Milanpreet Kaur and Sulabh Kumar Khare | 2020 | Paper | | y2020 | paper |
Achieving Faster Reset Verification Closure with Intelligent Reset Domain Crossings Detection | Milanpreet Kaur and Sulabh Kumar Khare | 2020 | Poster, Presentation | | y2020 | poster presentation |
Achieving Portable Stimulus with Graph-Based Verification – Tutorial | Josef Derner, Holger Horbach, Frederic Krampac, Staffan Berg | 2014 | Presentation | | y2014 | presentation |
Achieving system dependability: the role of automation and scalability | Alessandra Nardi | 2022 | Paper | | y2022 | paper |
Achieving system dependability: the role of automation and scalability | Teo Cupaiuolo, Paul Baron, Ghani Kanawati | 2022 | Presentation | | y2022 | presentation |
Addressing Asynchronous FIFO Verification Challenge | Anchal Gupta, Ashish Hari and Sulabh Kumar Khare | 2019 | Presentation | | y2019 | presentation |
Addressing Asynchronous FIFO Verification Challenge | Anchal Gupta, Ashish Hari, Sulabh Kumar Khare | 2018 | Presentation | | y2018 | presentation |
Addressing Renewed Gate Level Simulation Needs for 10nm-28nm and Below | Gagandeep Singh | 2016 | Paper | | y2016 | paper |
Addressing the Complex Challenges in Low-Power Design and Verification | Madhur Bhargava, Durgesh Prasad, Jitesh Bansal, and Gabriel Chidolue | 2016 | Presentation | | y2016 | presentation |
Addressing the Complex Challenges in Low-Power Design and Verification | Madhur Bhargava, Durgesh Prasad, and Jitesh Bansal | 2016 | Paper | | y2016 | paper |
Adopting UVM for safety Verification requirements | Srinivasan Venkatarmanan, Hemakiran Kolli, Gurubasappa Kinagi, and Satinder Paul Singh | 2017 | Presentation | | y2017 | presentation |
Adopting UVM for safety Verification requirements | Srinivasan Venkatarmanan, Hema Kiran, and Satinder Paul Singh | 2017 | Paper | | y2017 | paper |
Advance Approach for Formal Verification of Configurable Pulse Width Modulation Controller | Sumit K. Kulshreshtha, Raghavendra J N | 2021 | Paper | | y2021 | paper |
Advance your Design and Verification Flow Using IP XACT | Edwin Dankert, Maximilian Albrecht and Vincent Thibaut | 2019 | Presentation | | y2019 | presentation |
Advanced Techniques to Accomplish Power Aware CDC Verification | Rohit K Sinha, Ashish Hari and Sulabh Kumar Khare | 2018 | Paper | | y2018 | paper |
Advanced UVM in the real world ‐ Tutorial | Mark Litterick, Jason Sprott, Jonathan Bromley, and Vanessa Cooper | 2014 | Presentation | | y2014 | presentation |
Advanced UVM Tutorial Taking Reuse to the Next Level | Mark Litterick, Jason Sprott, and Jonathan Bromley | 2015 | Presentation | | y2015 | presentation |
Advanced, High Throughput Debug From Design to Silicon | Gordon Allan & Michael Horn | 2015 | Presentation | | y2015 | presentation |
Advancing the SystemC Ecosystem | Philipp A Hartmann, Jerome Cornet, Martin Scnierginger, and Frederic Doucet | 2017 | Presentation | | y2017 | presentation |
Advancing traceability and consistency in Verification and Validation | Walter Tibboel and Martin Barnasconi | 2014 | Paper | | y2014 | paper |
Advancing traceability and consistency in Verification and Validation | Walter Tibboel and Martin Barnasconi | 2014 | Poster | | y2014 | poster |
Agile and dynamic functional coverage using SQL on the cloud | Filip Dojcinovic and Mihailo Ivanovic | 2019 | Presentation | | y2019 | presentation |
Agile and dynamic functional coverage using SQL on the cloud | Filip Dojcinovic and Mihailo Ivanovic | 2018 | Presentation | | y2018 | presentation |
Agile Approaches to ASIC Verification (A3V) – A Novel Agile Flow in Functional Verification | Adithya Rangan, Vidyasagar Kantamneni, Vishal Dalal | 2022 | Paper | | y2022 | paper |
Agile Approaches to ASIC Verification (A3V) – A Novel Agile Flow in Functional Verification | Adithya Rangan CK, Vidyasagar Kantamneni, Vishal Dalal | 2022 | Presentation | | y2022 | presentation |
Agnostic UVM-XX Testbench Generation | Jacob Andersen, Stephan Gerth, and Filippo Dughetti | 2016 | Presentation | | y2016 | presentation |
Agnostic UVM-XX Testbench Generation Replace XX (almost) as you see fit! | Jacob Andersen, Stephan Gerth, and Filippo Dughetti | 2016 | Paper | | y2016 | paper |
Algorithm Verification with Open Source and System Verilog | Andra Socianu and Daniel Ciupitu | 2014 | Presentation | | y2014 | presentation |
AMS Verification in a UVM Environment | Silvia Strähle | 2016 | Presentation | | y2016 | presentation |
AMS Verification in a UVM Environment | Silvia Strähle | 2016 | Paper | | y2016 | paper |
An Accelerated System Level CPU Verification through Simulation-Emulation Co-Existence | Ruchi Misra, Samridh Deva, Sai Krishna Pallekonda, Alok Kumar, Garima Srivastava, Youngsik Kim, Seonil Brian Choi | 2022 | Paper | | y2022 | paper |
An Accelerated System Level CPU Verification through Simulation-Emulation Co-Existence | Ruchi Misra, Samridh Deva, P Sai Krishna, Alok Kumar, Garima Srivastava YoungSik Kim, Seonil Brian Choi | 2022 | Presentation | | y2022 | presentation |
An Analysis of Stimulus Techniques for Efficient Functional Coverage Closure | Caglayan Yalein, Aileen McCabe | 2021 | Paper | | y2021 | paper |
An Automated Formal Verification Flow for Safety Registers | Holger Busch | 2015 | Paper | | y2015 | paper |
An Automated Formal Verification Flow for Safety Registers | Holger Busch | 2015 | Presentation | | y2015 | presentation |
An Automated Pre-silicon IP Trustworthiness Assessment for Hardware Assurance | John Hallman, David Landoll, Sergio Marchese, Sven Beyer, Garrett Chan, Salam Zantout and Vikram Rao | 2020 | Paper | | y2020 | paper |
An Automated Pre-silicon IP Trustworthiness Assessment for Hardware Assurance | J. Hallman, D. Landoll, S. Marchese, S. Beyer, G. Chan, S. Zantout, and V. Rao | 2020 | Poster, Presentation | | y2020 | poster presentation |
An Easy VE/DUV Integration Approach | Uwe Simm | 2015 | Paper | | y2015 | paper |
An Easy VE/DUV Integration Approach | Uwe Simm | 2015 | Presentation | | y2015 | presentation |
An Effective Design and Verification Methodology for Digital PLL | Biju Viswanathan, Rajagopal P.C, Ramya Nair S. R, Joseph J Vettickatt, and Jobin Cyriac | 2014 | Paper | | y2014 | paper |
An Effective Design and Verification Methodology for Digital PLL | Biju Viswanathan, Rajagopal P.C, Ramya Nair S. R, Joseph J Vettickatt, and Jobin Cyriac | 2014 | Poster | | y2014 | poster |
An Efficient Methodology for Mutation-Coverage-Collection of Formal-Property-Checking | Holger Busch | 2022 | Paper | | y2022 | paper |
An Efficient Methodology for Mutation-Coverage-Collection of Formal-Property-Checking | Holger Busch | 2022 | Presentation | | y2022 | presentation |
An efficient requirements-driven and scenario-driven verification flow | Heino van Orsouw | 2017 | Presentation | | y2017 | presentation |
An efficient requirements-driven and scenario-driven verification flow | Walter Tibboel, Heino van Orsouw, and Shuang Han | 2017 | Paper | | y2017 | paper |
An Efficient Verification Framework for Audio/Video Interface Protocols | Noha Shaarawy, Mustafa Khairallah, Khaled Khalifa, Hany Salah, Amr Salah and Maged Ghoneima | 2015 | Paper | | y2015 | paper |
An Elegant scoreboard eco-system deploying UVM Callbacks, Parameterization for Multimedia designs from Imaging perspective | Chakravarthi Devakinanda Vurukutla, Sahana Ranganathan, Devendra Satish Bilaye, Vivek Kumar & Karthik Majeti | 2023 | Paper | | y2023 | paper |
An Elegant scoreboard eco-system deploying UVM Callbacks, Parameterization for Multimedia designs from Imaging perspective | Chakravarthi Devakinanda, Sahana Ranganathan, Devendra Satish Bilaye, Vivek Kumar & Karthik Majeti | 2023 | Presentation | | y2023 | presentation |
An end-to-end approach to Design and Verify Battery Management Systems: from Requirements to Virtual Field Testing | Irina Costachescu, Marius-Lucian Andrei, Manuel Fedou, Conrado Ramirez Garcia, | 2022 | Paper | | y2022 | paper |
An end-to-end approach to Design and Verify BMS: from Requirements to Virtual Field Testing | Conrado Ramirez, Irina Costachescu, Marius Andrei, Carlos Villegas | 2022 | Presentation | | y2022 | presentation |
An Improved Methodology for Debugging UPF Issues at SoC level Power Aware Simulations | Ruchi Misra, S Shrinidhi Rao, Alok Kumar, Garima Srivastava & Sarang Kalbande | 2023 | Paper | | y2023 | paper |
An Introduction to using Event-B for Cyber-Physical System Specification and Design | John Colley and Michael Butler | 2014 | Presentation | | y2014 | presentation |
An Open and Fast Virtual Platform for TriCore™-based SoCs Using QEMU | Bastian Koppelmann, Bernd Messidat, Markus Becker, and Christoph Kuznik | 2014 | Paper | | y2014 | paper |
An Open and Fast Virtual Platform for TriCore™-based SoCs Using QEMU | Bastian Koppelmann | 2014 | Poster | | y2014 | poster |
An open and flexible SystemC to VHDL workflow for rapid prototyping | Bastian Farkas | 2016 | Presentation | | y2016 | presentation |
An open and flexible SystemC to VHDL workflow for rapid prototyping | Bastian Farkas, Syed Abbas Ali Shah, Jan Wagner, Rolf Meyer, Rainer Buchty, and Mladen Berekovic | 2016 | Paper | | y2016 | paper |
Analog Modelling to Suit Emulation for Hardware-Software Co-Verification | Saranya Das | 2020 | Paper | | y2020 | paper |
Analog Modelling to Suit Emulation for Hardware-Software Co-Verification | Saranya Das | 2020 | Poster, Presentation | | y2020 | poster presentation |
Applying Design Patterns to accelerate development of reusable, configurable and portable UVCs. | | 2015 | Presentation | | y2015 | presentation |
Applying Design Patterns to Maximize Verification Reuse at Block, Subsystem and System on Chip Level | Paul Kaunds, Revati Bothe and Jesvin Johnson | 2019 | Presentation | | y2019 | presentation |
Attack Your SoCPowerChallenges with Virtual Prototyping | Stefan Thiel and Gunnar Braun | 2014 | Presentation | | y2014 | presentation |
Automate and Accelerate RISC-V Verification by Compositional Formal Methods | Yean-Ru Chen, Cheng-Ting Kao, Yi-Chun Kao, Tien-Yin Cheng, Chun-Sheng Ke and Chia-Hao Hsu | 2019 | Presentation | | y2019 | presentation |
Automate and Accelerate RISC-V Verification by Compositional Formal Methods | Yean-Ru Chen, Cheng-Ting Kao, Yi-Chun Kao, Tien-Yin Cheng, Chun-Sheng Ke and Chia-HaoHsu | 2018 | Presentation | | y2018 | presentation |
Automate Interrupt Checking with UVM Macros and Python | Aleksandra Dimanic, Nemanja Stevanovic, Yoav Furman, Itay Henigsberg | 2022 | Paper | | y2022 | paper |
Automate Interrupt Checking with UVM Macros and Python | Aleksandra Dimanic, Nemanja Stevanovic, Yoav Furman, Itay Henigsberg | 2022 | Presentation | | y2022 | presentation |
Automated Configuration of System Level C-Based CPU Testbench in Modern SoCs : A Novel Framework | Ruchi Misra, Chetan Kulkarni, Alok Kumar, Garima Srivastava, Youngsik Kim, Seonil Brian Choi | 2022 | Paper | | y2022 | paper |
Automated Configuration of System Level C-Based CPU Testbench in Modern SoCs : A Novel Framework | Ruchi Misra, Chetan Kulkarni, Alok Kumar, Garima Srivastava, Youngsik Kim, Seonil Brian Choi | 2022 | Presentation | | y2022 | presentation |
Automated Configuration of Verification Environments using Specman Macros DVcon Europe 2018 – Paper number 260-OH22 | Milos Mirosavljevic, Ron Sela, Dejan Janjic and Efrat Shneydor | 2018 | Paper | | y2018 | paper |
Automated Configuration of Verification Environments using SpecmanMacros | Milos Mirosavljevic, Ron Sela, Dejan Janjic and Efrat Shneydor | 2018 | Presentation | | y2018 | presentation |
Automated Creation of Reusable Generators for Analog IC Design with the Intelligent IP Method | Uwe Eichler, Benjamin Prautsch, Torsten Reich | 2022 | Paper | | y2022 | paper |
Automated Creation of Reusable Generators for Analog IC Design with the Intelligent IP Method | Uwe Eichler, Benjamin Prautsch, Torsten Reich | 2022 | Presentation | | y2022 | presentation |
Automated SystemC Model Instantiation with modern C++ Features and sc_vector | Ralph Görgen and Philipp A. Hartmann | 2015 | Paper | | y2015 | paper |
Automated SystemC Model Instantiation with modern C++ Features and sc_vector | Ralph Görgen, Philipp A. Hartmann, and Wolfgang Nebel | 2015 | Presentation | | y2015 | presentation |
Automatic Diagram Creation for Design and Testbenches | Paul O’Keeffe, Jamie Beattie and Gian Lorenzo | 2020 | Paper | | y2020 | paper |
Automatic Diagram Creation for Design and Testbenches | Paul O’Keeffe, Jamie Beattie, and Gian Lorenzo | 2020 | Poster, Presentation | | y2020 | poster presentation |
Automatic Firmware Design for Application-specific Electronic Systems: Opportunities, Challenges and Solutions | Daniel Große, Joscha Benz, Vladimir Herdt, and Martin Dittrich | 2017 | Presentation | | y2017 | presentation |
Automatic Firmware Verification for Automotive Applications | Torsten Andre and Daniel Valtiner | 2017 | Presentation | | y2017 | presentation |
Automatic Firmware Verification for Automotive Applications | Torsten Andre and Daniel Valtiner | 2017 | Paper | | y2017 | paper |
Automatic Netlist Modifications required by Functional Safety | Harald Lüpken, Dirk Hönicke, and Michael Rohleder | 2014 | Paper | | y2014 | paper |
Automatic Netlist Modifications required by Functional Safety | Harald Lüpken, Dirk Hönicke, and Michael Rohleder | 2014 | Poster | | y2014 | poster |
Automatic Testbench Build to Reduce Cycle Time and Forster Reuse | Joachim Geishauser and Alexander Schilling | 2017 | Paper | | y2017 | paper |
Automatic Testbench Build to Reduce Cycle Time and Foster Reuse | Joachim Geishauser and Alexander Schilling | 2017 | Presentation | | y2017 | presentation |
Be a Sequence Pro to Avoid Bad Con Sequences | Mark Litterick | 2019 | Presentation | | y2019 | presentation |
Between the Dialog and the Algorithm or Innovative Technological Narratives Leveraging the Idea of Authenticity in a Human Being Visualizing log files enables intuitive comprehension of complex test scenarios | Anna M. Ravitzki and Uri Feigin | 2017 | Paper | | y2017 | paper |
Bit density based pre characterization of RAM cells for area critical SOC design | Dilip Kumar Ajay ([email protected]) | 2020 | Presentation | | y2020 | presentation |
Bit Density-Based Pre-Characterization of RAM Cells for Area Critical SOC Design | Dilip Kumar Ajay | 2020 | Paper | | y2020 | paper |
Boost your productivity in FPGA & ASIC design and verification | Bart Brosens | 2022 | Paper | | y2022 | paper |
Boost your productivity in FPGA & ASIC design and verification | Bart Brosens | 2022 | Presentation | | y2022 | presentation |
Boosting Debug Productivity Practical Applications of Debug Innovations in a UVM World | Joerg Richter | 2017 | Presentation | | y2017 | presentation |
Boosting Mixed-Signal Design Productivity with FPGA-Based Methods Throughout the Chip Design Process | Gabriel Rutsch, Simone Fontanesi, Steven G. Herbst, Steven Tan Hee Yeng, Andrea Possemato, Gaetano Formato, Mark Horowitz, and Wolfgang Ecker | 2020 | Paper | | y2020 | paper |
Boosting SystemC-based Testbenches with Modern C++ and Coverage-Driven Generation | Hoang M. Le and Rolf Drechsler | 2015 | Paper | | y2015 | paper |
Boosting SystemC-based Testbenches with Modern C++ and Coverage-Driven Generation | Hoang M. Le and Rolf Drechsler | 2015 | Presentation | | y2015 | presentation |
Break the SoC with UVM Dynamically Generated Program Code | Bogdan Todea, Madhukar Mahadevappa & Pravin Wilfred | 2023 | Paper | | y2023 | paper |
Bridging the gap between system-level and chip-level performance optimization | Soniya Gupta, Vikrant Kapila & Holger Keding | 2023 | Paper | | y2023 | paper |
Bringing Reset Domains and Power Domains Together – Set/Reset Flops Augmenting Complexities in Power-Aware RDC Verification. | Manish Bhati, Manjunatha Srinivas, Abdul Moyeen, Inayat Ali | 2021 | Paper | | y2021 | paper |
Build Reliable and Efficient Reset Networks with a Comprehensive Reset Domain Crossing Verification Solution | Wanggen Shi, Yuxin You, and Kurt Takara | 2020 | Paper | | y2020 | paper |
Build Reliable and Efficient Reset Networks with a Comprehensive Reset Domain Crossing Verification Solution | Wanggen Shi, Yuxin You, and Kurt Takara | 2020 | Presentation | | y2020 | presentation |
Building a coherent ESL design and verification eco-system with SystemC, TLM, UVM-SystemC, and CCI | Martin Barnasconi | 2016 | Paper | | y2016 | paper |
Building Code Generators for Reuse – Demonstrated by a SystemC Generator | Saad Siddiqui and Ulrich Nageldinger | 2017 | Paper | | y2017 | paper |
Building Code Generators for Reuse – Demonstrated by a SystemC Generator | Ulrich Nageldinger and Saad Siddiqui | 2017 | Presentation | | y2017 | presentation |
Building Confidence in System level CPU Cache Coherency Verification for Complex SoC’s through a Configurable, Flexible and Portable Testbench | Ruchi Misra, Shrinidhi Rao, Alok Kumar, Garima Srivastava, Youngsik Kim, Seonil Brian Choi | 2022 | Paper | | y2022 | paper |
Building Confidence in System Level CPU Cache Coherency Verification for Complex SoCs through a Configurable Flexible and Portable Test Bench | S Shrinidhi Rao, Ruchi Misra, Alok Kumar, Garima Srivastava, YoungSik Kim, Seonil Brian Choi | 2022 | Presentation | | y2022 | presentation |
Building Smart SoCs Using Virtual Prototyping for the Design and SoC Integration of Deep Learning Accelerators | Holger Keding | 2019 | Presentation | | y2019 | presentation |
Cadence vManager Platform and Virtuoso ADE Verifier Leading-edge technologies provide methodology for mixedsignal verification closure | Kawe Fotouhi and Walter Hartong | 2019 | Presentation | | y2019 | presentation |
Case Study of Verification Planning to Coverage Closure @Block, Subsystem and System on Chip Level | Paul Kaunds, Revati Bothe, and Jesvin Johnson | 2018 | Presentation | | y2018 | presentation |
Catching the low hanging fruits on intel® Graphics Designs | M, Achutha KiranKumar V, Aarti Gupta, Bindumadhava S S, Savitha Manojna, and Abhijith A Bharadwaj | 2016 | Paper | | y2016 | paper |
Centralized Regression Optimisation Toolkit (CROT) for expediting Regression Closure With Simulator Performance Optimisation | Harshal Kothari, Pavan M, Ajay Vamshi Krishna, Eldin Ben Jacob, Sriram Kazhiyur Sounderrajan, Somasunder Kattepura Sreenath | 2021 | Paper | | y2021 | paper |
Challenges and Solutions for Creating Virtual Platforms of FPGA and SASIC Designs | Kalen Brunham, Jakob Engblom | 2022 | Presentation | | y2022 | presentation |
Challenges and Solutions for Creating Virtual Platforms of FPGA and SASIC Designs | Kalen Brunham, Jakob Engblom | 2022 | Paper | | y2022 | paper |
Challenges of VHDL X-propagation Simulations | Karthik Baddam and Piyush Sukhija | 2015 | Paper | | y2015 | paper |
Challenges of VHDL X-propagation Simulations | Karthik Baddam and Piyush Sukhija | 2015 | Presentation | | y2015 | presentation |
Characterizing RF Wireless Receivers Performance in UVM Environment | Salwa Elqassas, Salwa Elqassas and Mohammed T. Abdel-Hafe | 2018 | Paper | | y2018 | paper |
Chiplevel Analog Regressions in Production | Yi Wang | 2021 | Paper | | y2021 | paper |
Clock Controller Unit Design Metrics: Area, Power, Software Flexibility and Congestion Impacts at System Level | Michele Chilla and Leonardo Gobbi | 2020 | Paper | | y2020 | paper |
Clock Tree Design Considerations in The Presence of Asymmetric Transistor Aging | Freddy Gabbay; Firas Ramadan; Majd Ganaiem | 2023 | Paper | | y2023 | paper |
Closed-Loop Model-First SoC Development With the Intel® Simics® Simulator | Kalen Brunham, Anthony Moore, Tobias Rozario, Wei Jun Yeap, and Jakob Engblom | 2023 | Presentation | | y2023 | presentation |
Closed-Loop Model-First SoC Development With the Intel® Simics® Simulator | Kalen Brunham, Anthony Moore, Tobias Rozario, Wei Jun Yeap, and Jakob Engblom | 2023 | Paper | | y2023 | paper |
Closing and Awards | Accellera Systems Initiative | 2022 | Video | | y2022 | video |
Closing Ceremony – DVCon Europe 2023 | | 2023 | Video | | y2023 | video |
Closing the gap between requirement management and system design by requirement tracing | Hayri Verner Hasou, Guillermo Conde, Adrian Rolufs, Dominic Scharfe | 2022 | Paper | | y2022 | paper |
Closing the loop from requirements management to verification execution for automotive applications | Walter Tibboel and Jan Vink | 2015 | Paper | | y2015 | paper |
Closing the loop from requirements management to verification execution for automotive applications | Walter Tibboel and Jan Vink | 2015 | Presentation | | y2015 | presentation |
Closing with Awards | Accellera Systems Initiative | 2022 | Video | | y2022 | video |
Clustering and Classification of UVM Test Failures Using Machine Learning Techniques | Andy Truong, Daniel Hellström, Harry Duque, and Lars Viklund | 2018 | Paper | | y2018 | paper |
Clustering and Classification of UVM Test Failures Using Machine Learning Techniques | Andy Troung, Daniel Hellström, Harry Duque, and Lars Viklund | 2018 | Presentation | | y2018 | presentation |
Co-Design of Automotive Boardnet Topology and Architecture | Sebastian Post, Christoph Grimm | 2023 | Presentation | | y2023 | presentation |
Co-Design of Automotive Boardnet Topology and Architecture | Sebastian Post; Christoph Grimm | 2023 | Paper | | y2023 | paper |
Combining Static and Dynamic Low Power Verification for the Power-Aware SoC Sign-off | Himanshu Bhatt and Prashanth M | 2014 | Paper | | y2014 | paper |
Combining Static and Dynamic Low Power Verification for the Power-Aware SoC Sign-off | Himanshu Bhatt, Prashanth M, and Adiel Khan | 2014 | Presentation | | y2014 | presentation |
Complete Formal Verification of a Family of Automotive DSPs | Rafal Baranowski and Marco Trunzer | 2016 | Presentation | | y2016 | presentation |
Complete Formal Verification of a Family of Automotive DSPs | Rafal Baranowski and Marco Trunzer | 2016 | Paper | | y2016 | paper |
Complex Low Power Verification Challenges in NextGen SoCs : Taming the Beast! | Abhinav Nawal, Gaurav Jain, and Joachim Geishauser | 2014 | Presentation | | y2014 | presentation |
Complex Low Power Verification Challenges in NextGen SoCs: Taming the Beast! | Abhinav Nawal and Gaurav Jain | 2014 | Paper | | y2014 | paper |
Comprehensive AMS Verification using Octave, Real Number Modelling and UVM | John McGrath, Patrick Lynch, and Ali Boumaalif | 2015 | Paper | | y2015 | paper |
Comprehensive AMS Verification using Octave, Real Number Modelling and UVM | John McGrath, Patrick Lynch, and Ali Boumaalif | 2015 | Presentation | | y2015 | presentation |
Connecting a Company’s Verification Methodology to Standard Concepts of UVM | Frank Poppen, Marco Trunzer, and Jan‐Hendrik Oetjens | 2014 | Paper | | y2014 | paper |
Connecting a Company’s Verification Methodology to Standard Concepts of UVM | Frank Poppen, Marco Trunzer, and Jan‐Hendrik Oetjens | 2014 | Presentation | | y2014 | presentation |
Connecting Enterprise Applications to Metric Driven Verification | Matt Graham | 2014 | Paper | | y2014 | paper |
Connecting Enterprise Applications to Metric Driven Verification | Matt Graham | 2014 | Presentation | | y2014 | presentation |
Control Flow Analysis for Bottom-up Portable Models Creation | Petr Bardonek; Marcela Zachariasova | 2023 | Paper | | y2023 | paper |
Covering the Last Mile in SoC-Level Deadlock Verification | Jef Verdonck, Khaled Nsaibia, Sagar Dewangan, HarGovind Singh and Roger Sabbagh | 2019 | Presentation | | y2019 | presentation |
Covering the Last Mile in SoC-Level Deadlock Verification | Jef Verdonck, Dhruv Gupta, and HarGovind Singh | 2018 | Presentation | | y2018 | presentation |
CRAVE 2.0: The Next Generation Constrained Random Stimuli Generator for SystemC | Hoang M. Le and Rolf Drechsler | 2014 | Paper | | y2014 | paper |
CRAVE 2.0: The Next Generation Constrained Random Stimuli Generator for SystemC | Hoang M. Le and Rolf Drechsler | 2014 | Presentation | | y2014 | presentation |
Customizing UVM Agent Supporting Multi-Layered & TDM Protocols | Amit Pessach | 2019 | Presentation | | y2019 | presentation |
Customizing UVM Agent Supporting Multi-Layered & TDM Protocols | Amit Pessach | 2018 | Presentation | | y2018 | presentation |
Data path verification on cross domain with formal scoreboard | Liu Jun | 2014 | Paper | | y2014 | paper |
Data path verification on cross domain with formal scoreboard | Liu Jun | 2014 | Poster | | y2014 | poster |
Day 1 Opening | Accellera Systems Initiative | 2022 | Video | | y2022 | video |
Day 2 Opening | Accellera Systems Initiative | 2022 | Video | | y2022 | video |
Democratizing Formal Verification | Tobias Ludwig, Michael Schwarz, Paulius Morkunas, Silvio Santana, Dominik Stoffel, Wolfgang Kunz | 2021 | Paper | | y2021 | paper |
Deploying HLS in a DO-254/ED-80 Workflow | Tammy Reeve, Jacob Wiltgen, Byron Brinson, and David Aerne | 2020 | Paper | | y2020 | paper |
Deploying HLS in a DO-254/ED-80 Workflow | Byron Brinson Jacob Wiltgen, David Aerne and Tammy Reeve | 2020 | Poster, Presentation | | y2020 | poster presentation |
Design and verification in ARM | Hobson Bullman | 2016 | Presentation | | y2016 | presentation |
Design Verification of the Quantum Control Stack | Seyed Amir Alavi, Samin Ishtiaq, Nick Johnson, Rojalin Mishra, Dwaraka O N, Asher Pearl and Jan Snoeijs | 2023 | Paper | | y2023 | paper |
Designing a PSS Reuse Strategy | Matthew Ballance | 2019 | Presentation | | y2019 | presentation |
Designing a PSS Reuse Strategy | Matthew Ballance | 2018 | Presentation | | y2018 | presentation |
Designing the Future with Efficiency Guidance to Adopting SystemVerilog for Design! | Axel Scherer and Junette Tan | 2015 | Paper | | y2015 | paper |
Detection of glitch-prone clock and reset propagation with automated formal analysis | Kaushal Shah, Sulabh Kumar Khare | 2021 | Paper | | y2021 | paper |
Developing & Testing Automotive Software on Multi SoC ECU Architectures using Virtual Prototyping | Sam Tennent | 2018 | Presentation | | y2018 | presentation |
Developing Dynamic Resource Management System in SoCEmulation | Seonchang Choi, Sangwoo Noh, Seonghee Yim and Seonil Brian Choi | 2019 | Presentation | | y2019 | presentation |
Developing Dynamic Resource Management System in SoCEmulation | Seonchang Choi and Seonghee Yim | 2018 | Presentation | | y2018 | presentation |
Development and Verification of RISC-V Based DSP Subsystem IP: Case Study | Pascal Gouedo, Damien Le Bars, Olivier Montfort, Lee Moore, Aimee Sutton, Larry Lapides | 2022 | Paper | | y2022 | paper |
Development of a Core-Monitor for HW/SW Co-Debugging targetting Emulation Platform | Shreya Morgansgate, Johannes Grinschgl, Djones Lettnin | 2022 | Presentation | | y2022 | presentation |
Development of Flexi Performance Analysis Platform for Multi–SoC Networking Systems | Srinivasan Reddy Devarajan, Anant Raj Gupta, IngoVolkening, Franz Josef Schaefer and Vijay Raj Franklin Paul Rajan William | 2019 | Presentation | | y2019 | presentation |
Discovering Deadlocks in a Memory Controller IP | Jef Verdonck, Emrah Armagan, Khaled Nsaibia, Slava Bulach, Pranay Gupta, Anshul Jain, Chirag Agarwal and Roger Sabbagh | 2020 | Paper | | y2020 | paper |
Discovering Deadlocks in a Memory Controller IP | Jef Verdonck, Emrah Armagan, Khaled Nsaibia, Slava Bulach, Pranay Gupta, Anshul Jain, Chirag Agarwal, and Roger Sabbagh | 2020 | Presentation | | y2020 | presentation |
Does It Pay Off To Add Portable Stimulus Layer On Top Of UVM IP Block Test Bench? | Xia Wu, Jacob Sander Andersen and Ole Kristoffersen | 2020 | Paper | | y2020 | paper |
Does It Pay Off To Add Portable Stimulus Layer On Top Of UVM IP Block Test Bench? | Xia Wu, Jacob Sander Andersen, and Ole Kristoffersen | 2020 | Presentation | | y2020 | presentation |
Don’t Forget the Protocol! A CDC Protocol Methodology to Avoid Bugs in Silicon | Abdelouahab Ayari, Sukriti Bisht, Sulabh Kumar Khare and Kurt Takara | 2019 | Presentation | | y2019 | presentation |
Don’t Forget the Protocol! A CDC Protocol Methodology to Avoid Bugs in Silicon | Abdelouahab Ayari, Sukriti Bisht, Sulabh Kumar Khare, and Kurt Takara | 2018 | Presentation | | y2018 | presentation |
DSA Monitoring Framework for HW/SW Partitioning of Application Kernels leveraging VP | Christoph Hazott, Daniel Große | 2023 | Presentation | | y2023 | presentation |
DSA Monitoring Framework for HW/SW Partitioning of Application Kernels leveraging VPs | Christoph Hazott; Daniel Grosse | 2023 | Paper | | y2023 | paper |
DVCon EU 2014 Proceedings | Accellera Systems Initiative | 2014 | Program | | y2014 | program |
DVCon EU 2015 Proceedings | Accellera Systems Initiative | 2015 | Program | | y2015 | program |
DVCon EU 2016 Proceedings | Accellera Systems Initiative | 2016 | Program | | y2016 | program |
DVCon EU 2017 Proceedings | Accellera Systems Initiative | 2017 | Program | | y2017 | program |
DVCon EU 2018 Proceedings | Accellera Systems Initiative | 2018 | Program | | y2018 | program |
DVCon EU 2019 Proceedings | Accellera Systems Initiative | 2019 | Program | | y2019 | program |
DVCon EU 2020 Proceedings | Accellera Systems Initiative | 2020 | Video | | y2020 | video |
DVCon EU 2020 Proceedings | Accellera Systems Initiative | 2020 | Program | | y2020 | program |
DVCon EU 2021 Proceedings | Accellera Systems Initiative | 2021 | Program | | y2021 | program |
DVCon Europe 2015 Road to self driving cars: View of a semiconductor company | Hans Adlkofer | 2015 | Presentation | | y2015 | presentation |
DVCon Europe 2022 Proceedings Showcase Link | Accellera Systems Initiative | 2022 | Video | | y2022 | video |
Dynamic Fault Injection Library Approach for SystemC AMS | Thomas Markwirth, Paul Ehrlich, and Dominik Matter | 2016 | Presentation | | y2016 | presentation |
Dynamic Fault Injection Library Approach for SystemC AMS | Thomas Markwirth, Paul Ehrlich, and Dominik Matter | 2016 | Paper | | y2016 | paper |
Early Performance Verification of Embedded Inferencing Systems using open source SystemC NVIDIA MatchLib | Herbert Taucher and Russell Klein | 2019 | Presentation | | y2019 | presentation |
Easier UVM – Making Verification Methodology More Productive | John Aynsley and David Long | 2014 | Presentation | | y2014 | presentation |
Easier UVM: Learning and Using UVM with a Code Generator | John Aynsley, Doulos | 2015 | Presentation | | y2015 | presentation |
Effective Design Verification – Constrained Random with Python and Cocotb | Deepak Narayan Gadde, Suruchi Kumari & Aman Kumar | 2023 | Paper | | y2023 | paper |
Efficient Clock Monitoring System for SoC Clock Verification | Nam Pham Van | 2016 | Presentation | | y2016 | presentation |
Efficient Clock Monitoring System for SoC Clock Verification | Nam Pham Van, Bernhard Braun, Dirk Moeller, and Clemens Roettgermann | 2016 | Paper | | y2016 | paper |
Efficient Constrained Random Generation of Address Blocks: A comparative study of simulation speeds | Meenakshy Ramachandran | 2015 | Paper | | y2015 | paper |
Efficient Constrained Random Generation of Address Blocks: A comparative study of simulation speeds | Meenakshy Ramachandran | 2015 | Poster | | y2015 | poster |
Efficient Debugging on Virtual Prototype using Reverse Engineering Method | Sandeep Puttappa, Dineshkumar Selvaraj & Ankit Kumar | 2023 | Paper | | y2023 | paper |
Efficient Debugging on Virtual Prototype using Reverse Engineering Method | Sandeep Puttappa, Dineshkumar Selvaraj & Ankit Kumar | 2023 | Presentation | | y2023 | presentation |
Efficient Exploration of Safety-Relevant Systems Through a Link Between Analysis and Simulation | Moomen Chaari, Wolfgang Ecker, Thomas Kruse, Cristiano Novello, and Bogdan-Andrei Tabacaru | 2016 | Presentation | | y2016 | presentation |
Efficient Exploration of Safety-Relevant Systems Through a Link Between Analysis and Simulation | Moomen Chaari, Wolfgang Ecker, Thomas Kruse, Cristiano Novello, and Bogdan-Andrei Tabacaru | 2016 | Paper | | y2016 | paper |
Efficient Fault Injection Methods for Safety Software Testing Based on Virtual Prototypes: Application to Powertrain ECU | Ons Mbarek, Dineshkumar Selvaraj, and Romero Chica Jose Miguel | 2018 | Paper | | y2018 | paper |
Efficient Loosely-Timed SystemC TLM-2.0 Modeling: A Hands-On Tutorial | Nils Bosbach, Lukas Junger, Rainer Leupers | 2022 | Paper | | y2022 | paper |
Efficient Loosely-Timed SystemC TLM-2.0 Modeling: A Hands-On Tutorial | Nils Bosbach, Lukas Jünger, Rainer Leupers | 2022 | Presentation | | y2022 | presentation |
Efficient use of Virtual Prototypes in HW/SW Development and Verification | Rocco Jonack and Eyck Jentzsch | 2018 | Presentation | | y2018 | presentation |
Efficient Verification Framework for Audio/Video Interfaces | Noha Shaarawy | 2015 | Presentation | | y2015 | presentation |
Efficient Verification of a RADAR SoC Using Formal and Simulation-Based Methods | Aman Kumar, Mark Litterick & Samuele Candido | 2023 | Paper | | y2023 | paper |
Emulation based Power and Performance Workloads on ML NPUs | Pragati Mishra, Ritu Suresh, Issac P Zacharia, Jitendra Aggarwal | 2021 | Paper | | y2021 | paper |
Enabling Digital Mixed-Signal Verification of Loading Effects in Power Regulation using SystemVerilog User-Defined Nettype | Alvaro Caicedo and Sebastian Fritz | 2019 | Presentation | | y2019 | presentation |
Enabling Digital Mixed-Signal Verification of Loading Effects in Power Regulation using SystemVerilog User-Defined Nettype | Alvaro Caicedo and Sebastian Fritz | 2018 | Presentation | | y2018 | presentation |
Enabling Energy Aware System Level Design with UPF-Based System Level Power Models | T4A and T4B | 2014 | Presentation | | y2014 | presentation |
Enabling Visual Design Verification Analytics – From Prototype Visualizations to an Analytics Tool using the Unity Game Engine | Markus Borg, Andreas Brytting, and Daniel Hansson | 2018 | Paper | | y2018 | paper |
Energy-efficient High Performance Compute, at the heart of Europe | | 2023 | Presentation | | y2023 | presentation |
Enhancements of metric driven verification for the ISO26262: Recent advancements better supporting specific verification requirements including functional safety | Michael Rohleder, Clemens Röttgermann, Stephan Rüttiger, John Brennan, Matt Graham, and Riccardo Oddone | 2016 | Paper | | y2016 | paper |
Enhancing Quality and Coverage of CDC Closure in Intel’s SoC Design | Rohit Kumar Sinha | 2020 | Paper | | y2020 | paper |
Enhancing Quality and Coverage of CDC Closure in Intel’s SoC Design | Rohit Kumar Sinha | 2020 | Presentation | | y2020 | presentation |
Enriching UVM in SystemC with AMS extensions for randomization and functional coverage* | Thilo Vörtler, Thomas Klotz, Karsten Einwich, Yao Li, Zhi Wang, Marie-Minerve Louërat, Jean-Paul Chaput, François Pêcheux, Ramy Iskander, and Martin Barnasconi | 2014 | Paper | | y2014 | paper |
Enriching UVM in SystemC with AMS extensions for randomization and functional coverage* | Thilo Vörtler, Thomas Klotz, Karsten Einwich, Yao Li, Zhi Wang, Marie-Minerve Louërat, Jean-Paul Chaput, François Pêcheux, Ramy Iskander, and Martin Barnasconi | 2014 | Presentation | | y2014 | presentation |
ESL Design and Modeling with SystemCAMS for Mixed-Signal IoTand Automotive Applications | Karsten Einwich, Martin Barnasconi, Christoph Grimm, and Torsten Mähne | 2017 | Presentation | | y2017 | presentation |
Evaluation of the RISC-V Floating Point Extensions | Niko Zurstrassen; Lennart M. Reimann; Nils Bosbach; Lukas Juenger; Rainer Leupers | 2023 | Paper | | y2023 | paper |
Experience of Using Formal Verification for a Complex Memory Subsystem Design | Sujeet Kumar, Vandana Goel, Hrushikesh Vaidya and Ronak Sarikhada | 2020 | Paper | | y2020 | paper |
Experience of using Formal Verification for a Complex Memory Subsystem Design | Sujeet Kumar,Vandana Goel,Hrushikesh Vaidya, and Ronak Sarikhada | 2020 | Poster, Presentation | | y2020 | poster presentation |
Exploring New Frontiers of High-Performance Verification with UVM-AMS | Tim Pylant | 2023 | Presentation | | y2023 | presentation |
Extending functionality of UVM components by using Visitor design pattern | Darko M. Tomušilović | 2018 | Paper | | y2018 | paper |
Extending functionality of UVM components by using Visitor design pattern | Darko M. Tomušilović | 2018 | Presentation | | y2018 | presentation |
Extending Proven Digital Verification Techniques for Mixed-Signal SoCswith VCS AMS | Helene Thibieroz, Adiel Khan, Pierluigi Daglio, and Gernot Koch | 2014 | Presentation | | y2014 | presentation |
Extending the UVM register model generation and integration flow to support user-defined scenarios and register mask values | Shuang Han, Kees van Kaam, and Martin Barnasconi | 2017 | Presentation | | y2017 | presentation |
Extending the UVM register model generation and integration flow to support user-defined scenarios and register mask values | Shuang Han, Kees van Kaam, and Martin Barnasconi | 2017 | Paper | | y2017 | paper |
Extending UVM Register Abstraction Layer for Verification of Register Access via Serial Bus Interface | Darko M. Tomušilović | 2016 | Presentation | | y2016 | presentation |
Extending UVM Register Abstraction Layer for Verification of Register Access via Serial Bus Interface | Darko Tomušilović | 2016 | Paper | | y2016 | paper |
Facilitating Transactions in System Verilog and VHDL | Rich Edelman | 2020 | Presentation | | y2020 | presentation |
Facilitating Transactions in VHDL and SystemVerilog | Rich Edelman | 2020 | Paper | | y2020 | paper |
Fast and Furious Quick Innovation from Idea to Real Prototype | Simone Fontanesi, Gaetano Formato, Thomas Arndt, and Andrea Monterastelli | 2018 | Paper | | y2018 | paper |
Fast and FuriousQuick Innovation from Idea to Real Prototy | Simone Fontanesi, Gaetano Formato, Thomas Arndt, and Andrea Monterastelli | 2018 | Presentation | | y2018 | presentation |
Fast, Parallel RISC-V Simulation for Rapid Software Verification | Lukas Jünger, Jan Henrik Weinstock, Rainer Leupers | 2022 | Presentation | | y2022 | presentation |
Fault Effect Propagation using Verilog A for Analog Test Coverage | Aishwarya Prabhakaran, Ahmed Sokar, and Jaafar Mejri | 2018 | Presentation | | y2018 | presentation |
Fault Effect Propagation using Verilog-A for Analog Test Coverage | Aishwarya Prabhakaran, Ahmed Sokar and Jaafar Mejri | 2018 | Paper | | y2018 | paper |
Fault Injection Analysis for Automotive Safety and Security | Sesha Sai Kumar C V, Ayman Mouallem, Jamil Mazzawi | 2022 | Presentation | | y2022 | presentation |
Fault Injection Analysis for Automotive Safety and Security | Sesha Sai Kumar C.V., Jamil Mazzawi, Ayman Mouallem | 2022 | Paper | | y2022 | paper |
Fault Proof: Using Formal Techniques for Safety Verification and Fault Analysis | Adrian Traskov, Thorsten Ehrenberg, Sacha Loitz, Abdelouahab Ayari, Avidan Efody, and Joseph Hupcey III | 2016 | Presentation | | y2016 | presentation |
Fault Proof: Using Formal Techniques for Safety Verification and Fault Analysis | Adrian Traskov,Thorsten Ehrenberg, Sacha Loitz, Abdelouahab Ayari, Avidan Efody, and Joseph Hupcey III | 2016 | Paper | | y2016 | paper |
Fault-injection-Enhanced Virtual Prototypes Enable Early SW Development for Automotive Applications | Mohammad Badawi, Javier Castillo, Andreas Mauderer & Jan-Hendrik Oetjens | 2023 | Paper | | y2023 | paper |
Fault-injection-Enhanced Virtual Prototypes Enable Early SW Development for Automotive Applications | Mohammad Badawi, Javier Castillo, Andreas Mauderer & Jan-Hendrik Oetjens | 2023 | Presentation | | y2023 | presentation |
Firmware Firmly under Control: New Optimization and Verification Techniques for Application Specific Electronic System | Daniel Große, Manuel Strobel, Daniel Mueller-Gritschneder, Vladimir Herdt, and Tobias Ludwig | 2018 | Presentation | | y2018 | presentation |
Five Ways to Make Your Specman Environment More Reusable and Configurable | Stefan Sljukic, Nikola Knezevic, Filip Dojcinovic | 2021 | Paper | | y2021 | paper |
Flexible Indirect Registers With UVM | Uwe Simm | 2017 | Presentation | | y2017 | presentation |
Flexible Indirect Registers With UVM | Uwe Simm | 2017 | Paper | | y2017 | paper |
Formal Fault Propagation Analysis that Scales to Modern Automotive SoCs | Sergio Marchese | 2017 | Presentation | | y2017 | presentation |
Formal Fault Propagation Analysis that Scales to Modern Automotive SoCs | Sergio Marchese and Jörg Grosse | 2017 | Paper | | y2017 | paper |
Formal Property Verification of the Digital Section of an Ultra-Low Current Digitizer ASIC | Katharina Ceesay-Seitz, Sarath Kundumattathil Mohanan, Hamza Boukabache, Daniel Perrin | 2021 | Paper | | y2021 | paper |
Formal Verification Experiences: Silicon Bug Hunt with “Deep Sea Fishing” | Mark Handover, Abdelouahab Ayari and Ping Yeung | 2020 | Paper | | y2020 | paper |
Formal Verification Experiences: Silicon Bug Hunt with “Deep Sea Fishing” | Ping Yeung, Mark Handover, and Abdelouahab Ayari | 2020 | Poster, Presentation | | y2020 | poster presentation |
Formal Verification of a Highly Configurable DDR Controller IP | Sumit Neb, Chirag Agarwal, Deepak K. Gupta, and Roger Sabbagh | 2018 | Paper | | y2018 | paper |
Formal Verificationin the Real World | Jonathan Bromley and Jason Sprott | 2017 | Presentation | | y2017 | presentation |
FPGA Debug Using Configuration Readback | Mike Dini | 2015 | Presentation | | y2015 | presentation |
Functional Safety Verification for ISO 26262 – Compliant Automotive Designs | JM Forey and Werner Kerscher | 2018 | Presentation | | y2018 | presentation |
Functional Safety WG Update | Alessandra Nardi | 2022 | Paper | | y2022 | paper |
Fuzzing Firmware Running on Intel® Simics® Virtual Platforms | Jakob Engblom, Robert Guenzel | 2023 | Presentation | | y2023 | presentation |
Fuzzing Firmware Running on Intel® Simics® Virtual Platforms | Jakob Engblom & Robert Guenzel | 2023 | Paper | | y2023 | paper |
Gathering Memory Hierarchy Statistics in QEMU | Clément Deschamps, Mark Burton, Eric Jenn and Frédéric Pétrot | 2019 | Presentation | | y2019 | presentation |
Gathering Memory Hierarchy Statistics in QEMU | Clément Deschamps, Mark Burton, Eric Jenn, and Frédéric Pétrot | 2018 | Presentation | | y2018 | presentation |
Generating Bus Traffic Patterns | Jacob Sander Andersen, Lars Viklund and Kenneth Branth | 2018 | Paper | | y2018 | paper |
Generation of (at least) UVM Register Models from IP Xact Using Model Driven SW Techniques | Jacob Sander Andersen | 2017 | Presentation | | y2017 | presentation |
Generation of UVM compliant Test Benches for Automotive Systems using IP-XACT with UVM-SystemC and SystemC AMS* | Ronan Lucas, Marie-Minerve Louërat, Yao Li, Zhi Wang, Jean-Paul Chaput, François Pêcheux, Ramy Iskander, Martin Barnasconi, and Thilo Vörtler | 2014 | Paper | | y2014 | paper |
Generation of UVM compliant Test Benches for Automotive Systems using IP-XACT with UVM-SystemC and SystemC AMS* | Ronan Lucas and Philippe Cuenot | 2014 | Presentation | | y2014 | presentation |
Generic Core-Monitor for Hardware/Software Co-Debugging targeting Emulation Platform | Shreya Morgansgate, Johannes Grinschgl, Djones Lettnin | 2022 | Paper | | y2022 | paper |
Generic Testbench/Portable Stimulus/Promotability | Revati Bothe and Jesvin Johnson | 2019 | Presentation | | y2019 | presentation |
Go Figure – UVM Configure The Good, The Bad, The Debug | Rich Edelman and Dirk Hansen | 2016 | Presentation | | y2016 | presentation |
Go Figure – UVM Configure The Good, The Bad, The Debug | Rich Edelman and Dirk Hansen | 2016 | Paper | | y2016 | paper |
Golden UPF: Preserving Power Intent From RTL to Implementation | Himanshu Bhatt and Harsh Chilwal | 2015 | Presentation | | y2015 | presentation |
Grid-based Mapping and Analysis of a GoogLeNet CNN using MapGL Editor | Claudio Raccomandato, Emad M. Arasteh & Rainer Dömer | 2023 | Presentation | | y2023 | presentation |
Grid-based Mapping and Analysis of a GoogLeNet CNN using MapGL Editor | Claudio Raccomandato, Emad M. Arasteh & Rainer Dömer | 2023 | Paper | | y2023 | paper |
Guiding Functional Verification Regression Analysis Using Machine Learning and Big Data Methods | Eman El Mandouh, Laila Maher, Moutaz Ahmed and Amr G. Wassal | 2018 | Paper | | y2018 | paper |
Handling Asynchronous Reset(s) Testing by building reset-awareness into UVM testbench components | Wei Wei Cheong, Katherine Garden, Ana Sanz Carretero | 2021 | Paper | | y2021 | paper |
Hardware construction with SystemC | Roman Popov and Roman Popov | 2018 | Paper | | y2018 | paper |
Hardware Software Co-verification in Hybrid QEMU/HDL Environment | Radoslaw Nawrot and Krzysztof Szczur | 2018 | Presentation | | y2018 | presentation |
Hardware/Software Co-Simulation of SPI enabled ASICs and Software Drivers for Fault Injection and Regression Tests | Elias Kyrlies-Chrysoulidis, Andreas Plange, Thomas Gürtler, Matthias Auerswald, and Josef Schmid | 2014 | Paper | | y2014 | paper |
Hardware/Software Co-Simulation of SPI enabled ASICs and Software Drivers for Fault Injection and Regression Tests | Elias Kyrlies-Chrysoulidis, Andreas Plange, Thomas Guertler, Matthias Auerswald, and Josef Schmid | 2014 | Poster | | y2014 | poster |
Heterogeneous Virtual Prototyping for IoTApplications | Paul Ehrilich, Karsten Einwich, Mark Burton, and Luc Michel | 2017 | Presentation | | y2017 | presentation |
Heterogenous Virtual Prototyping for IoT Applications | Mark Burton, Luc Michel, Paul Ehrlich, and Karsten Einwich | 2017 | Paper | | y2017 | paper |
High-Performance Mixed-Signal ESL Design of a Magneto Resistive Sensor Application | Martin Barnasconi and Sumit Adhikari | 2016 | Presentation | | y2016 | presentation |
High-Performance Mixed-Signal ESL Design of a Magneto Resistive Sensor Application | Martin Barnasconi and Sumit Adhikari | 2016 | Paper | | y2016 | paper |
How creativity kills reuse – A modern take on UVM/SV TB architecture | Andrei Vintila, Sergiu Duda | 2022 | Paper | | y2022 | paper |
How creativity kills reuse – A modern take on UVM/SV TB architectures | Andrei Vintila, Sergiu Duda | 2022 | Presentation | | y2022 | presentation |
How Far Can You Take UVM Code Generation and Why Would You Want To? | John Aynsley | 2016 | Presentation | | y2016 | presentation |
How Far Can You Take UVM Code Generation and Why Would You Want To? | John Aynsley | 2016 | Paper | | y2016 | paper |
How rich descriptions enable early detection of hookup issues | Peter Birch, Thomas Brown | 2022 | Presentation | | y2022 | presentation |
How the Right Mindset Increases Quality in RISC-V Verification | Philippe Luc, Salaheddin Hetalani, Nicolae Tusinschi | 2022 | Paper | | y2022 | paper |
How the Right Mindset Increases Quality in RISC-V Verification | Philippe Luc, Salaheddin Hetalani | 2022 | Presentation | | y2022 | presentation |
How to achieve verification closure of configurable code by combining static analysis and dynamic testing | Antonello Celano, Alexandre Langenieux | 2022 | Presentation | | y2022 | presentation |
How to achieve verification closure of configurable code by combining static analysis and dynamic testing | Antonello Celano, Alexandre Langenieux | 2022 | Paper | | y2022 | paper |
How to Create a Complex Testbench in a Couple of Hours | Tom Fitzpatrick and Graeme Jessiman | 2017 | Presentation | | y2017 | presentation |
How to leverage the power of MATLAB from Functional Verification Test Benches | Tom Richter | 2023 | Presentation | | y2023 | presentation |
How to Use Formal Analysis to Prevent Deadlocks | Abdelouahab Ayari, Mark Eslinger and Joe Hupcey III | 2020 | Presentation | | y2020 | presentation |
How to Verify Complex FPGA Designs for Free | Sebastian Dreßler, Nikos Anastasiadis, and Thomas Richter | 2016 | Presentation | | y2016 | presentation |
How to Verify Complex FPGA Designs for Free | Sebastian Dreßler, Nikos Anastasiadis, and Thomas Richter | 2016 | Paper | | y2016 | paper |
How To Verify Encoder And Decoder Designs Using Formal Verification | Jin Hou | 2020 | Paper | | y2020 | paper |
How To Verify Encoder And Decoder Designs Using Formal Verification | Jin Hou | 2020 | Presentation | | y2020 | presentation |
HW-SW-Coverification as part of CI/CD | Alexander Hoffmann, Ganesh Nair, Nan Ni & Johannes Grischgl | 2023 | Paper | | y2023 | paper |
Hybrid Emulation for faster Android Home screen bring up and Software Development | Rinkesh Yadav, Manoj Khandelwal, Sarang Kalbande & Garima Srivastava | 2023 | Paper | | y2023 | paper |
Hybrid Flow: A smart methodolgy to migrate from traditional Low Power Methodology | Rohit Kumar Sinha and N. Prashanth | 2018 | Paper | | y2018 | paper |
Hybrid Flow: A smart methodology to migrate from traditional Low Power Methodology | Rohit Kumar Sinha and Prashanth N | 2018 | Presentation | | y2018 | presentation |
IEEE 1801 Assisted Custom IP Development and Low Power Checks Using Cadence Virtuoso Power Manager | Dr. Matthias Steffen, Amit Chopra and Amit Chopra | 2018 | Paper | | y2018 | paper |
IEEE 1801 Assisted Custom IP Development and Low Power Checks Using Cadence Virtuoso Power Manager | Matthias Steffen, Amit Chopra, and Sonal Singh | 2018 | Presentation | | y2018 | presentation |
Implementation of a closed loop CDC verification methodology | Andrew Cunningham, Ireneusz Sobanski | 2014 | Paper | | y2014 | paper |
Implementation of a closed loop CDC verification methodology | Andrew Cunningham | 2014 | Presentation | | y2014 | presentation |
Improving Simulation Regression Efficiency using a Machine Learning-based Method in Design Verification | Deepak Narayan Gadde, Sebastian Simon, Djones Lettnin, Thomas Ziller | 2022 | Paper | | y2022 | paper |
Improving Simulation Regression Efficiency using a Machine Learning-based Method in Design Verification | Deepak Narayan Gadde, Sebastian Simon, Djones Lettnin, Thomas Ziller | 2022 | Presentation | | y2022 | presentation |
Improving the Confidence Level in Functional Safety Simulation Tools for ISO 26262 | Ahmet Cagri Bagbaba, Felipe Augusto Da Silva, and Christian Sauer | 2018 | Paper | | y2018 | paper |
Increased Regression Efficiency with Jenkins Continuous Integration | Thomas Ellis | 2016 | Paper | | y2016 | paper |
Increasing Efficiency and Reuse in Modeling SystemC/TLM IPs Targeting Virtual Prototypes for Software Development | David Spieker, Thomas Schuster, Rafael Zuralski, and Christian Sauer | 2020 | Paper | | y2020 | paper |
Initialization Techniques for D-Flip-Flop Based Scan Chain with Scan Flush Architecture in Gate-Level Simulation | Wei Jun Yeap, Rahul Chauhan & Wonyoung Choi | 2023 | Paper | | y2023 | paper |
Innovative Technological Narratives Leveraging the Idea of Authenticity in a Human Being | Anna M. Ravitzki, Uri Feigin, and Hagai Arbel | 2017 | Presentation | | y2017 | presentation |
Institutionalize a certified ISO26262 safety process | M. Rohleder, C. Röttgermann, amd M. Müller | 2016 | Presentation | | y2016 | presentation |
Institutionalizing a certified ISO26262 safety process: Experiences and lessons learned | Michael Rohleder, Clemens Röttgermann, and Marcus Müller | 2016 | Paper | | y2016 | paper |
Integrating a Virtual Platform Framework for Smart Devices | V. Guarnieri, F. Stefanni, F. Fummi, M. Grosso, D. Lena, A. Ciccazzo, G. Gangemi, and S. Rinaudo | 2015 | Presentation | | y2015 | presentation |
Integrating Different Types of Models into a Complete Virtual System | Jakob Engblom, Andreas Hedström, Xiuliang Wang, and Håkan Zeffer | 2016 | Presentation | | y2016 | presentation |
Integrating Different Types of Models into a Complete Virtual System: The Simics SystemC* Library | Jakob Engblom, Andreas Hedström, Xiuliang Wang, and Håkan Zeffer | 2016 | Paper | | y2016 | paper |
Integrating Parallel SystemC Simulationinto Simics® Virtual Platform | Daniel Mendoza, Ajit Dingankar, ZhongqiCheng and Rainer Doemer | 2019 | Presentation | | y2019 | presentation |
Integrating Parallel SystemC Simulationinto Simics® Virtual Platform | Daniel Mendoza, Ajit Dingankar, Zhongqi Cheng and Rainer Doemer | 2018 | Presentation | | y2018 | presentation |
Integration of modern verification methodologies in a TCL test framework | Matteo De Luigi and Alessandro Ogheri | 2015 | Paper | | y2015 | paper |
Integration Verification of Safety Components in Automotive Chip Modules | Holger Busch | 2023 | Paper | | y2023 | paper |
Integration Verification of Safety Components in Automotive Chip Modules | Holger Busch | 2023 | Presentation | | y2023 | presentation |
Introduction to Next Generation Verification Language – Vlang | Puneet Goel and Sumit Adhikari | 2014 | Paper | | y2014 | paper |
Introduction to Next Generation Verification Language – Vlang | Puneet Goel and Sumit Adhikari | 2014 | Presentation | | y2014 | presentation |
IP-Coding Style Variants in a Multi-layer Generator Framework | Zhao Han, Keerthikumara Devarajegowda, Andreas Neumeier and Wolfgang Ecker | 2020 | Paper | | y2020 | paper |
IP-Coding Style Variants in a Multi-layer Generator Framework | Zhao Han, Keerthikumara Devarajegowda, Andreas Neumeier, and Wolfgang Ecker | 2020 | Poster, Presentation | | y2020 | poster presentation |
Is Your Testing N wise or Unwise? Pairwise and N wise Patterns in SystemVerilog for Efficient Test Configuration and Stimulus | Jonathan Bromley and Kevin Johnston | 2015 | Presentation | | y2015 | presentation |
Is Your Testing N-wise or Unwise? Pairwise and N-wise Patterns in SystemVerilog for Efficient Test Configuration and Stimulus | Jonathan Bromley and Kevin Johnston | 2015 | Paper | | y2015 | paper |
ISO 26262 Fault Analysis in Safety Mechanisms Considering the impact of residual and latent faults in hardware safety mechanisms | Jörg Grosse, Mark Hampton, Sergio Marchese, Jörg Koch, Neil Rattray and Alin Zagardan | 2019 | Presentation | | y2019 | presentation |
ISO 26262: Better be safe with modelling and simulation on system-level | Joachim Hößler, Sven Johr, Thang Nguyen, Stephan Schulz, and Gert-Jan Tromp | 2014 | Paper | | y2014 | paper |
ISO 26262: Better be safe with modelling and simulation on system-level | Joachim Hößler, Sven Johr, Thang Nguyen, Stephan Schulz, and Gert-Jan Tromp | 2014 | Poster | | y2014 | poster |
Keynote: Challenges in Soc Verification for 5G and Beyond | Axel Jahnke | 2022 | Video | | y2022 | video |
Keynote: Developing the Chip-to-Cloud Architecture for the Most Desirable Cars | Magnus Östberg | 2022 | Video | | y2022 | video |
Keynote: Energy-efficient High Performance Compute, at the heart of Europe | | 2023 | Video | | y2023 | video |
Keynote: Pervasive and Sustainable AI with Adaptive Computing | | 2023 | Video | | y2023 | video |
Language Agnostic Communication for SystemC TLM Compliant Virtual Prototypes | Smurti Khire, Kunal Sharma, Vishal Chovatiya | 2021 | Paper | | y2021 | paper |
Large-scale Gatelevel Optimization Leveraging Property Checking | Lucas Klemmer; Dominik Bonora; Daniel Grosse | 2023 | Paper | | y2023 | paper |
Lean Verification Techniques: Executable SystemVerilog UVM Defect Table For Simulations | Steve Burchfiel, Kevin Schott, Kamel Belhous and Paul Ulrich | 2020 | Paper | | y2020 | paper |
Lean Verification Techniques: Executable SystemVerilog UVM Defect Table For Simulations | Kamel Belhous and Steve Bu | 2020 | Presentation | | y2020 | presentation |
Leveraging hardware emulation to accelerate SoCverification in multi-physics automotive simulation environment via the Functional Mock-up Interface | Pierre-Guillaume Le Guay, Henrique Vicente De Souza, Caaliph Andriamisaina, Emmanuel Molina Gonzalez and Tanguy Sassolas | 2019 | Presentation | | y2019 | presentation |
Leveraging hardware emulation to accelerate SoCverification in multi-physics automotive simulation environment via the Functional Mock-up Interface | Pierre-Guillaume Le Guay, Henrique Vicente De Souza, Caaliph Andriamisaina, Emmanuel Molina Gonzalez, and Tanguy Sassolas | 2018 | Presentation | | y2018 | presentation |
Leveraging the UVM RAL for Memory Sub-System Verification | Tudor Timisescu and Uwe Simm | 2015 | Presentation | | y2015 | presentation |
Leveraging the UVM Register Abstraction Layer for Memory Sub-System Verification | Tudor Timisescu and Uwe Simm | 2015 | Paper | | y2015 | paper |
Leveraging virtual prototypes from concept to silicon | Rob Kaye | 2017 | Presentation | | y2017 | presentation |
Low-Power Validation Framework for Standard Cell Library Including Front-End and Back-End Implementation | J. Lee, H. Bak, S. Do, T. Yoo, Hwaseong-si, Gowrishankar Srinivasan & Vishw Mitra Singh Bhadouria | 2023 | Paper | | y2023 | paper |
Low-Power Verification Methodology using UPF Query functions and Bind checkers | Madhur Bhargava and Durgesh Prasad | 2014 | Paper | | y2014 | paper |
Low-Power Verification Methodology using UPF Query functions and Bind checkers | Madhur Bhargava and Durgesh Prasad | 2014 | Poster | | y2014 | poster |
Machine Learning based Structure Recognition in Analog Schematics for Constraints Generation | Rituj Patel, Husni Habal, Konda Reddy Venkata | 2021 | Paper | | y2021 | paper |
Machine Learning for Coverage Analysis in Design Verification | V Jayasree | 2021 | Paper | | y2021 | paper |
Machine Learning Introduction and Exemplary Application in Embedded Wireless Platforms | Jonathan Ah Sue | 2018 | Presentation | | y2018 | presentation |
Make Your Testbenches Run Like Clockwork! | Markus Brosch, Salman Tanvir and Martin Ruhwandl | 2020 | Paper | | y2020 | paper |
Make your Testbenches Run Like Clockwork! | Markus Brosch, Salman Tanvir, and Martin Ruhwandl | 2020 | Presentation | | y2020 | presentation |
Making Autonomous Cars Safe | Joern Stohmann and Frederico Ferlini | 2017 | Presentation | | y2017 | presentation |
Making ISO26262 Functional Safety Verification a Natural Extension of Functional Verification | Andrew Betts and Ann Keffer | 2018 | Presentation | | y2018 | presentation |
MapGL: Interactive Application Mapping and Profiling on a Grid of Processing Cells | Claudio Raccomandato, Emad M. Arasteh & Rainer Dömer | 2023 | Presentation | | y2023 | presentation |
MapGL: Interactive Application Mapping and Profiling on a Grid of Processing Cells | Claudio Raccomandato; Emad M. Arasteh; Rainer Doemer | 2023 | Paper | | y2023 | paper |
Maximize PSS Reuse with Unified Test Realization Layer Across Verification Environments | Simranjit Singh, Ashwani Aggarwal, Suman Kumar Reddy Mekala, Arun K.R., Woojoo Space Kim , Seonil Brian Choi, Gnaneshwara Tatuskar | 2021 | Paper | | y2021 | paper |
Mechanical mounting variation effects on magnetic speed sensor applications | Simone Fontanesi, Se Hwan Kim, Gernot Binder and Andrea Monterastelli | 2017 | Presentation | | y2017 | presentation |
Mechanical mounting variation effects on magnetic speed sensor applications Combining finite element methods and SystemC simulations to study the effects of mechanical tilts and offset on magnetic speed sensor applications | Simone Fontanesi, Se Hwan Kim, Gernot Binder and Andrea Monterastelli | 2017 | Paper | | y2017 | paper |
MetaPSS: An Automation Framework for Generation of Portable Stimulus Model | Jaimini Nagar; Thorsten Dworzak; Sebastian Simon; Ulrich Heinkel; Djones Lettnin | 2023 | Paper | | y2023 | paper |
MetaPSS: An Automation Framework for Generation of Portable Stimulus Model | Jaimini Nagar, Thorsten Dworzak, Sebastian Simon, Ulrich Heinkel, Djones Lettnin | 2023 | Presentation | | y2023 | presentation |
Method for early performance verification of hardware-accelerated embedded processor systems in RTL simulation | Luca Sasselli, Mehmet Tukel, David Guthrie | 2021 | Paper | | y2021 | paper |
Methodology for Automated Generation and Validation of Analog Behavioral Models for Mixed-Signal Verification | Nan Ni, Chunya Xu, and Sebastian Simon | 2018 | Paper | | y2018 | paper |
Methodology for checking UVM VIPs | Milan Vlahovic and Ilija Dimitrijevic | 2019 | Presentation | | y2019 | presentation |
Methodology for checking UVM VIPs | Milan Vlahovic and Ilija Dimitrijevic | 2018 | Presentation | | y2018 | presentation |
Methodology of Communication Protocols Development: from Requirements to Implementation | Irina Lavrovskaya and Valentin Olenev | 2015 | Presentation | | y2015 | presentation |
MicroTESK: Automated Architecture Validation Suite Generator for Microprocessors | Mikhail Chupilko, Alexander Kamkin, Alexander Protsenko, Sergey Smolov, and Andrei Tatarnikov | 2018 | Paper | | y2018 | paper |
Migrating from UVM to UVM-MS | Tim Pylant | 2023 | Presentation | | y2023 | presentation |
Mixed Electronic System Level Power/Performance Estimation | Antonio Genov, Loic Leconte and François Verdier | 2020 | Paper | | y2020 | paper |
Mixed ESL Power/Performance Estimation using SystemC/TLM2.0 Modeling and PwClkARCHLibrary | Antonio Genov, Loic Leconte, and François Verdier | 2020 | Presentation | | y2020 | presentation |
Model based Automation of Verification Development for automotive SOCs | Aljoscha Kirchner, Jan Hendrik Oetjens, and Oliver Bringmann, | 2020 | Presentation | | y2020 | presentation |
Model Validation for Mixed-Signal Verification | Carsten Wegener | 2016 | Presentation | | y2016 | presentation |
Model Validation for Mixed-Signal Verification The Importance of Being Earnest about Modeling | Carsten Wegener | 2016 | Paper | | y2016 | paper |
Model-Based Approach for Developing Optimal HW/SW Architectures for AI systems | Petri Solanti, Russell Klein | 2023 | Presentation | | y2023 | presentation |
Model-Based Automation of Verification Development for Automotive SOCs | Aljoscha Kirchner, Jan-Hendrik Oetjens and Oliver Bringmann | 2020 | Paper | | y2020 | paper |
Modeling of Generic Transfer Functions in SystemVerilog | Elvis Shera | 2016 | Presentation | | y2016 | presentation |
Modeling of Generic Transfer Functions in SystemVerilog. Demystifying the Analytic Model | Elvis Shera | 2016 | Paper | | y2016 | paper |
Modelling Finite-State Machines in the Verification Environment using Software Design Patterns | Darko M. Tomušilović | 2017 | Presentation | | y2017 | presentation |
Modelling Finite-State Machines in the Verification Environment using Software Design Patterns | Darko M. Tomušilović and Mihajlo Z. Minović | 2017 | Paper | | y2017 | paper |
Modelling of UVC Monitor Class as a Finite State Machine for a Packet-Based Interface | Djordje Velickovic, Milos Mitic | 2022 | Paper | | y2022 | paper |
Modelling of UVC Monitor Class as a Finite State Machine for a Packet-Based Interface | Djordje Velickovic, Milos Mitic | 2022 | Presentation | | y2022 | presentation |
Modern methodologies in a TCL test environment | Matteo De Luigi and Alessandro Ogheri | 2015 | Presentation | | y2015 | presentation |
Moving SystemC to a New C++ Standard | Ralph Görgen and Philipp A. Hartmann | 2016 | Paper | | y2016 | paper |
Multi-Variant Coverage: Effective Planning and Modelling | Vikas Sharma and Manoj Manu | 2018 | Paper | | y2018 | paper |
Multi-Variant Coverage: Effective Planning and Modelling | Vikas Sharma and Manoj Manu | 2018 | Presentation | | y2018 | presentation |
Mutable Verification Environments Through Visitor and Dynamic Register Map Configuration | Matteo Barbati, Alberto Allara | 2020 | Paper | | y2020 | paper |
Mutable Verification Environments through Visitor and Dynamic Register Map Configuration | Matteo Barbati and Alberto Allara | 2020 | Presentation | | y2020 | presentation |
Netlist Paths | Jamie Hanlon, Samuel Kong | 2021 | Paper | | y2021 | paper |
New Trends in RTL Verification: Bug Localization, Scan- Chain-Based Methodology, GA-Based Test Generation | Khaled Salah | 2015 | Poster | | y2015 | poster |
New Trends in RTL Verification: Bug Localization, Scan-Chain-Based Methodology, GA-Based Test Generation | Khaled Salah | 2015 | Paper | | y2015 | paper |
Next Gen System Design and Verification for Transportation | Bryan Ramirez, Petri Solanti and Richard Pugh | 2019 | Presentation | | y2019 | presentation |
Next Generation ISO 26262-basedDesign Reliability Flows | Jörg Große and Sanjay Pillay | 2017 | Presentation | | y2017 | presentation |
No Country For Old Men – A Modern Take on Metrics Driven Verification | Svetlomir Hristozkov, James Pallister, Richard Porter | 2021 | Paper | | y2021 | paper |
NVVM: A Netlist-based Verilog Verification Methodology for Mixed-Signal Design | Jiping Qiu, Kurt Schwartz | 2014 | Paper | | y2014 | paper |
NVVM: A Netlist-based Verilog Verification Methodology for Mixed-Signal Design | Jiping Qiu, Kurt Schwartz | 2014 | Presentation | | y2014 | presentation |
On the Performance Differences of SystemC and SystemC AMS: A Guideline for Real Number Modeling and Beyond | Alexandra Kuester; Rainer Dorsch; Christian Haubelt | 2023 | Paper | | y2023 | paper |
One Testbench to Rule them all! | Salman Tanvir, Markus Brosch, Amer Siddiqi | 2021 | Paper | | y2021 | paper |
Open Source Solution for RISC-V Verification | Mikhail Chupilko, Alexander Kamkin and Alexander Protsenko | 2019 | Presentation | | y2019 | presentation |
Open Source Solution for RISC-V Verification | Mikhail Chupilko, Alexander Kamkin, and Alexander Protsenko | 2018 | Presentation | | y2018 | presentation |
Open-Source Virtual Platforms for Industry and Research | Nils Bosbach, Lukas Jünger & Rainer Leupers | 2023 | Presentation | | y2023 | presentation |
Opening Session – Day 1 – DVCon Europe 2023 | | 2023 | Video | | y2023 | video |
Opening Session – Day 2 – DVCon Europe 2023 | | 2023 | Video | | y2023 | video |
Optimizing Design Verification using Machine Learning | William Hughes, Sandeep Srinivasan, Rohit Suvarna, Maithilee Kulkarni | 2021 | Paper | | y2021 | paper |
OSVVM and Error Reporting | Jim Lewis | 2015 | Paper | | y2015 | paper |
OSVVM and Error Reporting | Jim Lewis | 2015 | Presentation | | y2015 | presentation |
OSVVM: Advanced Verification for VHDL | Jim Lewis | 2014 | Paper | | y2014 | paper |
OSVVM: Advanced Verification for VHDL | Jim Lewis | 2014 | Poster | | y2014 | poster |
Overcoming Challenges in SoC RTL Verification of USB Subsystem | Tijana Mišić and Marko Mišić, | 2019 | Presentation | | y2019 | presentation |
Overcoming System Verilog Assertions limitations through temporal decoupling and automation | Mattia De Pascalis, Xia Wu, Matteo Vottero, Jacob Sander Andersen | 2022 | Presentation | | y2022 | presentation |
Overcoming SystemVerilog Assertions limitations through temporal decoupling and automation | Mattia De Pascalis, Xia Wu, Matteo Vottero, Jacob Sander Andersen | 2022 | Paper | | y2022 | paper |
Panel: “All AI All the Time” Poses New Challenges for Traditional Verification | | 2023 | Video | | y2023 | video |
Panel: 5G Chip Design Challenges and their Impact on Verification | Accellera Systems Initiative | 2022 | Video | | y2022 | video |
Panel: Are Processor/SoC Discontinuities Turning Verification on its Head? | Accellera Systems Initiative | 2022 | Video | | y2022 | video |
Panel: The Great Verification Chiplet Challenge | | 2023 | Video | | y2023 | video |
Paving a Path to Hardware-Based Acceleration in a Single UVM Environment (Because there can be only one UVM environment!) | Axel Scherer and Mark Azadpour | 2015 | Paper | | y2015 | paper |
Pedal Faster! Or Make Your Verification Environment More Efficient. You Choose. | Rich Edelman, Raghu Ardeishar, and Rohit Jain | 2014 | Paper | | y2014 | paper |
Pedal Faster! Or Make Your Verification Environment More Efficient. You Choose. | Rich Edelman, Raghu Ardeishar, and Rohit Jain | 2014 | Poster | | y2014 | poster |
Performance modeling and timing verification for DRAM memory subsystems | Thomas Schuster, Peter Prüller, and Christian Sauer | 2018 | Paper | | y2018 | paper |
Performance modeling and timing verification for DRAM memory subsystems | Thomas Schuster, Peter Prüller, and Christian Sauer | 2018 | Presentation | | y2018 | presentation |
Pervasive and Sustainable AI with Adaptive Computing Architectures | Michaela Blott | 2023 | Presentation | | y2023 | presentation |
Planning for RISC-V Success | Pascal Gouedo, Xavier Aubert, Yoann Pruvost | 2023 | Paper | | y2023 | paper |
Planning for RISC-V Success Verification Planning and Functional Coverage | Duncan Graham, Aimee Sutton, Simon Davidmann, Pascal Gouedo, Xavier Aubert, & Yoann Pruvost | 2023 | Presentation | | y2023 | presentation |
Plug and Play Verification: Leveraging Block-Level Components for Seamless Top-Level Integration | Tchiya Dayan | 2023 | Presentation | | y2023 | presentation |
Plug and Play Verification: Leveraging Block-Level Components for Seamless Top-Level Integration | Tchiya Dayan | 2023 | Paper | | y2023 | paper |
Portable Stimuli over UVM using portable stimuli in HW verification flow | Efrat Shneydor, Slava Salnikov, Liran Kosovizer and Dr’ Shlomo Greenberg | 2019 | Presentation | | y2019 | presentation |
Portable Stimulus Driven SystemVerilog/UVM verification environment for the verification of a high-capacity Ethernet communication endpoint | Andrei Vintila and Ionut Tolea | 2018 | Paper | | y2018 | paper |
Portable Test and Stimulus: The Next Level of Verification Productivity is Here | Tom Fitzpatrick and Sharon Rosenberg | 2018 | Presentation | | y2018 | presentation |
Power Aware CDC Verification of Dynamic Frequency and Voltage Scaling (DVFS) Artifacts | Mark Handover, Jonathan Lovett, and Kurt Takara | 2015 | Paper | | y2015 | paper |
Power Aware CDC Verification of Dynamic Frequency and Voltage Scaling (DVFS) Artifacts | Mark Handover, Jonathan Lovett, and Kurt Takara | 2015 | Presentation | | y2015 | presentation |
Power Aware Models: Overcoming barriers in Power Aware Simulation | Mohit Jain, Amit Singh, J.S.S.S. Bharath, Amit Srivastava, and Bharti Jain | 2014 | Paper | | y2014 | paper |
Power Aware Models: Overcoming barriers in Power Aware Simulation | Mohit Jain, Amit Singh, J.S.S.S. Bharath, Amit Srivastava, and Bharti Jain | 2014 | Presentation | | y2014 | presentation |
Power-Aware Verification in Mixed-Signal Simulation | Atul Pandey, Mattias Welponer, and Gregor Kowalczyk | 2014 | Paper | | y2014 | paper |
Power-Aware Verification in Mixed-Signal Simulation | Atul Pandey, Mattias Welponer, and Gregor Kowalczyk | 2014 | Presentation | | y2014 | presentation |
Practical Experience in Automatic Functional Coverage Convergence and Reusable Collection Infrastructure in UVM | Roman Wang, Suresh Babu Pusphaparaj, Mike Bartley | 2014 | Presentation | | y2014 | presentation |
Practical experience in automatic functional coverage convergence and reusable collection infrastructure in UVM verification | Roman Wang, Suresh Babu Pusphaparaj | 2014 | Paper | | y2014 | paper |
Practical experience in automatic functional coverage convergence and reusable collection infrastructure in UVM verification | Roman Wang and Suresh Babu Pusphaparaj | 2014 | Presentation | | y2014 | presentation |
Pragmatic Formal Verification Methodology for Clock Domain Crossing | Aman Kumar, Muhammad U.H. Khan & Bijitendra Mittra | 2023 | Presentation | | y2023 | presentation |
Pragmatic Formal Verification Methodology for Clock Domain Crossing (CDC) | Aman Kumar, Muhammad U.H. Khan & Bijitendra Mittra | 2023 | Paper | | y2023 | paper |
Probing UPF Dynamic Objects: Methodologies to Build Your Custom Low-Power Verification | Progyna Khondkar | 2020 | Poster, Presentation | | y2020 | poster presentation |
Probing UPF Dynamic Objects: Methodologies to Build Your Custom Low-Power Verification Platform | Progyna Khondkar | 2020 | Paper | | y2020 | paper |
Processing deliberate verification errors during regression | Alastair Lefley, Roger Witlox, Clemens Süßmuth, Thomas Ziller and Kawe Fotouhi | 2019 | Presentation | | y2019 | presentation |
Processing deliberate verification errors during regression | Alastair Lefley, Roger Witlox, Clemens Süßmuth, Thomas Ziller, and Kawe Fotouhi | 2018 | Presentation | | y2018 | presentation |
Programmable Analysis of RISC-V Processor Simulations using WAL | Lucas Klemmer, Eyck Jentzsch, Daniel Große | 2022 | Paper | | y2022 | paper |
Protocol verification of an IEEE 802.3bw PHY Application of mixed signal extensions to an UVM verification environment | Joen Westendorp and Marcel Oosterhuis | 2018 | Paper | | y2018 | paper |
Pythonized SystemC A non-intrusive scripting approach | Eyck Jentzsch and Rocco Jonack | 2019 | Presentation | | y2019 | presentation |
QED & Symbolic QED Pre-silicon Verification, Post-silicon Validation, Industrial Results | Subhasish Mitra, Eshan Singh and K. Devarajegowda | 2019 | Presentation | | y2019 | presentation |
Qualification of a Verification IP under Requirement Based Verification standards An approach to the verification of the verification | Francois Cerisier, Adrien Carmagnat, Alessandro Basili, and Gilles Curchod | 2018 | Paper | | y2018 | paper |
Random Stimuli Models for UVM Registers | Jacob Sander Andersen, Lars Viklund and Laura Montero | 2019 | Presentation | | y2019 | presentation |
Reboot your Reset Methodology: Resetting Anytime with the UVM Reset Package | Courtney Schmitt, Phu Huynh, Stephanie McInnis, and Uwe Simm | 2014 | Paper | | y2014 | paper |
Reboot your Reset Methodology: Resetting Anytime with the UVM Reset Package | Courtney Schmitt, Phu Huynh, Stephanie McInnis, and Uwe Simm | 2014 | Presentation | | y2014 | presentation |
Refining Successive Refinement: Improving a Methodology for Incremental Specification of Power Intent | Desinghu PS, Adnan Khan, Erich Marschner, and Gabriel Chidolue | 2015 | Paper | | y2015 | paper |
Refining Successive Refinement: Improving a Methodology for Incremental Specification of Power Intent | Erich Marschner and Gabriel Chidolue | 2015 | Presentation | | y2015 | presentation |
Register Testing – Exploring Tests, Register Model Libraries, Sequences and Backdoor Access | Rich Edelman | 2022 | Presentation | | y2022 | presentation |
Register Testing – Exploring Tests, Register Model Libraries, Sequences and Backdoor Access | Rich Edelman | 2022 | Paper | | y2022 | paper |
Requirement Driven Safety Verification | Ranga Kadambi, Vladimir Litovtchenko, Jens Rosenbusch, and Antonio Vilela | 2016 | Presentation | | y2016 | presentation |
Requirement Driven Safety Verification | Ranga Kadambi, Vladimir Litovtchenko, Jens Rosenbusch, and Antonio Vilela | 2016 | Paper | | y2016 | paper |
Requirements Driven Design Verification Flow Tutorial | Ateş Berna and Ahmet Jorghanxhi | 2018 | Presentation | | y2018 | presentation |
Requirements driven Verification methodology (for standards compliance) | Serrie-Justine Chapman, Darren Galpin, and Mike Bart | 2014 | Paper | | y2014 | paper |
Requirements driven Verification methodology (for standards compliance) | Serrie-justine Chapman, Mike Bartley, and Darren Galpin | 2014 | Presentation | | y2014 | presentation |
Requirements-driven Verification Methodology for Standards Compliance | Serrie-justine Chapman and Mike Bartley | 2014 | Presentation | | y2014 | presentation |
Reset Your Reset Domain Crossing (RDC) Verification with Machine Learning | Mark Handover | 2022 | Paper | | y2022 | paper |
Reset Your Reset Domain Crossing (RDC) Verification with Machine Learning | Mark Handover | 2022 | Poster | | y2022 | poster |
Reset Your Reset Domain Crossing (RDC) Verification with Machine Learning | Mark Handover | 2022 | Presentation | | y2022 | presentation |
Resetting RDC Expectations | Eamonn Quigley, Jonathan Niven, Mark Handover | 2021 | Paper | | y2021 | paper |
Results Checking Strategies with Portable Stimulus | Tom Fitzpatrick and Matthew Ballance | 2019 | Presentation | | y2019 | presentation |
Retrascope: Open-Source Model Checkerfor HDL Descriptions | Alexander Kamkin, Mikhail Lebedev and Sergey Smolov | 2019 | Presentation | | y2019 | presentation |
Retrascope: Open-Source Model Checkerfor HDL Descriptions | Alexander Kamkin, Mikhail Lebedev, and Sergey Smolov | 2018 | Presentation | | y2018 | presentation |
Reusable Processor Verification Methodology Based on UVM | Mustafa Khairallah and Maged Ghoneima | 2014 | Paper | | y2014 | paper |
Reusable Processor Verification Methodology Based on UVM | Mustafa Khairallah and Maged Ghoneima | 2014 | Poster | | y2014 | poster |
Reusable Verification Environment for a RISC-V Vector Accelerator | R. Ignacio Genovese, Josue Quiroga, Ivan Diaz, Henrique Yano, Asif Ali, Nehir Sonmez, Oscar Palomar, Victor Jimenez, Mario Rodriguez, Marc Dominguez | 2022 | Presentation | | y2022 | presentation |
Reusable Verification Environment for a RISC-V Vector Accelerator | Josue Quiroga, Roberto Ignacio Genovese, Ivan Diaz, Henrique Yano, Asif Ali, Nehir Sonmez, Oscar Palomar, Victor Jimenez, Mario Rodriguez, Marc Dominguez | 2022 | Paper | | y2022 | paper |
Reuse doesn’t come for free – learnings from a UVM deployment | Sumeet Gulati, Srinivasan Venkataramanan, Ketki Gosavi, Saumya Anvekar, and Azhar Ahammad | 2016 | Presentation | | y2016 | presentation |
Reuse doesn’t come for free – learnings from a UVM deployment | Sumeet Gulati, Srinivasan Venkataramanan, Azhar Ahammad, Ketki Gosavi, and Saumya Anvekar | 2016 | Paper | | y2016 | paper |
Reuse of System-Level Verification Components within Chip-Level UVM Environments | Diego Alagna, Marzia Annovazzi, Alessandro Cannone, Marcello Raimondi, Simone Saracino, Mukesh Chugh, Marc Erickson, Cristian Macario, Giuseppe Ridinò | 2021 | Paper | | y2021 | paper |
Reverse Hypervisor – Hypervisor as fast SoC simulator. | François-Frédéric Ozog & Mark Burton | 2023 | Paper | | y2023 | paper |
Reverse Hypervisor Hypervisor for fast SoC Simulation | François-Frédéric Ozog & Shokubai Mark Burton | 2023 | Presentation | | y2023 | presentation |
Revitalizing Automotive Safety Hard and Soft Error Approaches | Nael Qudsi and Ayman Mouallem | 2019 | Presentation | | y2019 | presentation |
Revolutionary Debug Techniques to Improve Verification Productivity | Nadav Chazan | 2014 | Presentation | | y2014 | presentation |
RISC-V Compliance & Verification Techniques Processor Cores and Custom Extensions | Simon Davidmann, Lee Moore, Richard Ho, Doug Letcher and Kevin McDermott | 2019 | Presentation | | y2019 | presentation |
RISC-V Integrity: A Guide for Developers and Integrators | Nicolae Tusinschi | 2019 | Presentation | | y2019 | presentation |
RTL2RTL Formal Equivalence: Boosting the Design Confidence | M. Achutha KiranKumar V, Aarti Gupta, and Ss. Bindumadhava | 2014 | Paper | | y2014 | paper |
RTL2RTL Formal Equivalence: Boosting the Design Confidence | M. Achutha KiranKumar V, Aarti Gupta, and Ss. Bindumadhava | 2014 | Poster | | y2014 | poster |
Safety and Security Aware Pre-Silicon Hardware / Software Co-Development | Nikola Velinov and Frank Schirrmeister | 2019 | Presentation | | y2019 | presentation |
Safety-Verification Flow Sporting Gate-Level Accuracy and Near Virtual-Prototype Speed | B.-A. Tabacaru, M. Chaari, W. Ecker, T. Kruse, and C. Novello | 2016 | Presentation | | y2016 | presentation |
Safety-Verification Flow Sporting Gate-Level Accuracy and Near Virtual-Prototype Speed | Bogdan-Andrei Tabacaru, Moomen Chaari, Wolfgang Ecker, Thomas Kruse, and Cristiano Novello | 2016 | Paper | | y2016 | paper |
Same bits, different meaning – when direct execution based simulation becomes complicated | Evgeny Yulyugin | 2018 | Paper | | y2018 | paper |
SAWD: Systemverilog Assertions Waveform-based Development Tool | Ahmed Alsawi | 2022 | Paper | | y2022 | paper |
SAWD: Systemverilog Assertions Waveform-based Development tool | Ahmed Alsawi | 2022 | Presentation | | y2022 | presentation |
Scalable agile processor verification using SystemC UVM and friends | Eyck Jentzsch | 2023 | Presentation | | y2023 | presentation |
Self-Triggering Mechanism for Modeling a Low-Dropout Regulator with Load Capacitor | Mariska van der Struijk & Yi Wang | 2023 | Paper | | y2023 | paper |
Semi-formal Reformulation of Requirements for Formal Property Verification | Katharina Ceesay-Seitz, Hamza Boukabache and Daniel Perrin | 2019 | Presentation | | y2019 | presentation |
SIM-V Fast, Parallel RISC-V Simulation for Rapid Software Verification | Lukas Jünger, Jan Henrik Weinstock, Rainer Leupers | 2022 | Paper | | y2022 | paper |
SimpleLink™ MCU Platform: IP-XACT to UVM Register Model – Standardizing IP and SoC Register Verification | Jasminka Pasagic and Frank Donner | 2017 | Presentation | | y2017 | presentation |
SimpleLink™ MCU Platform: IP-XACT to UVM Register Model – Standardizing IP and SoC Register Verification “UVM is a perfect start” | Jasminka Pasagic and Frank Donner | 2017 | Paper | | y2017 | paper |
Simplifying UVM in SystemC | Thilo Vörtler, Thomas Klotz, Karsten Einwich, and Felix Assma | 2015 | Paper | | y2015 | paper |
Simplifying UVM in SystemC | Thilo Vörtler, Thomas Klotz, Karsten Einwich, and Felix Assma | 2015 | Poster | | y2015 | poster |
SimPy for Chips | Hachem Tassine, Daniel Wilkinson, Graham Cunnigham, Iason Myttas | 2021 | Paper | | y2021 | paper |
Simulation and Debug of Mixed Signal Virtual Platforms for Hardware-Software co-development | Vincent Motel, Alexandre Roybier, and Serge Imbert | 2014 | Paper | | y2014 | paper |
Simulation and Debug of Mixed Signal Virtual Platforms for Hardware-Software co-development | Vincent Motel, Alexandre Roybier, and Serge Imbert | 2014 | Presentation | | y2014 | presentation |
Single Source System to Register-Transfer Level Design Methodology Using High-Level Synthesis | Petri Solanti and Thomas Arndt | 2020 | Paper | | y2020 | paper |
Single Source System to Register-Transfer Level Design Methodology Using High-Level Synthesis | Petri Solanti and Thomas Arndt | 2020 | Presentation | | y2020 | presentation |
Slicing Through the UVM’s Red TapeA Frustrated User’s Survival Guide | Jonathan Bromley © Accellera | 2016 | Presentation | | y2016 | presentation |
Slicing Through the UVM’s Red Tape: A Frustrated User’s Survival Guide | Jonathan Bromley | 2016 | Paper | | y2016 | paper |
Smart TSV (Through Silicon Via) Repair Automation in 3DIC Designs | Subramanian R, Naveen Srivastava, Jyoti Verma & Sekhar Dangudubiyyam | 2023 | Paper | | y2023 | paper |
Smart TSV Repair Automation in 3DIC Designs | Subramanian R, Naveen Srivastava, Jyoti Verma & Sekhar Dangudubiyyam | 2023 | Presentation | | y2023 | presentation |
SOBEL FILTER: Software Implementation to RTL using High Level Synthesis | Bhavna Aggarwal, Umesh Sisodia and Snigdha Tyagi | 2020 | Paper | | y2020 | paper |
SOBEL FILTER: Software Implementation to RTL using High Level Synthesis | Bhavna Aggarwal, Umesh Sisodia, and Snigdha Tyagi | 2020 | Presentation | | y2020 | presentation |
Software Driven Test of FPGA PrototypeMethods & Use cases | Krzysztof Szczur and Radosław Nawrot | 2017 | Presentation | | y2017 | presentation |
Soumak – How rich descriptions enable early detection of hookup issues | Peter Birch, Thomas Brown | 2022 | Paper | | y2022 | paper |
Specification by Example for Hardware Design and Verification | Jussi Mäkelä | 2017 | Presentation | | y2017 | presentation |
Specification by Example for Hardware Design and Verification | Jussi Mäkelä | 2017 | Paper | | y2017 | paper |
State-Space “Switching” Model of DC-DC Converters in SystemVerilog | Elvis Shera | 2017 | Presentation | | y2017 | presentation |
State-Space “Switching” Model of DC-DC Converters in SystemVerilog. | Elvis Shera | 2017 | Paper | | y2017 | paper |
Static Analysis of SystemC/SystemC-AMS System and Architectural Level Models | Karsten Einwich and Thilo Vörtler | 2020 | Paper | | y2020 | paper |
Static Analysis of SystemC/SystemC-AMS System and Architectural Level Models | Karsten Einwich and Thilo Vörtler | 2020 | Presentation | | y2020 | presentation |
Static Checking for Correctness of Functional Coverage Models | Wael Mahmoud | 2017 | Presentation | | y2017 | presentation |
Static Checking for Correctness of Functional Coverage Models | Wael Mahmoud | 2017 | Paper | | y2017 | paper |
Static Sign-Off Best Practices Learnings and Experiences from Industry Use Cases | Vikas Sachdeva | 2022 | Presentation | | y2022 | presentation |
Static Signoff Best Practices – Learnings and experiences from industry use cases | Vikas Sachdeva | 2022 | Paper | | y2022 | paper |
Successive Refinement – An approach to decouple Front-End and Back-end Power Intent | Rohit Kumar Sinha | 2021 | Paper | | y2021 | paper |
SV VQC UDN for Modeling Switch-Capacitor-based Circuits | Yi Wang | 2023 | Paper | | y2023 | paper |
SysML based Architecture Definition and Platform Generation Flow | Ralph Görgen and Erwin de Kock | 2019 | Presentation | | y2019 | presentation |
SysML v2 – An overview with SysMD demonstration | Christoph Grimm, Axel Ratzke, Sebastian Post, Hagen Heermann, Johannes Koch | 2023 | Presentation | | y2023 | presentation |
System Verilog Assertions Verification | Ionuț Ciocîrlan and Andra Radu | 2015 | Presentation | | y2015 | presentation |
System-Level Register Verification and Debug | Utkarsh Bhiogade, Kautilya Joshi, Puneet Goel | 2021 | Paper | | y2021 | paper |
System-Level Simulation of a SPAD-Based Time-of-Flight Sensor in SystemVerilog | Seungah Park, Hyeongseok Seo, Canxing Piao, Jaemin Park, Jaehyuk Choi, Jung-Hoon Chun | 2023 | Presentation | | y2023 | presentation |
System-Level Simulation of a SPAD-Based Time-of-Flight Sensor in SystemVerilog | Seungah Park, Hyeongseok Seo, Canxing Piao, Jaemin Park, Jaehyuk Choi & Jung-Hoon Chun | 2023 | Paper | | y2023 | paper |
SystemC extension for power specification, simulation and verification | Mikhail Moiseev, Ilya Klotchkov, and Kirill Gagarski | 2016 | Paper | | y2016 | paper |
SystemC extension for power specification,simulation and verification | Mikhail Moiseev, Ilya Klotchkov, Maxim Petrov, and Kirill Gagarski | 2016 | Presentation | | y2016 | presentation |
SystemC gaps encountered in Virtual Platform development | Eyck Jentzsch | 2016 | Paper | | y2016 | paper |
SystemC-to-Verilog Compiler: a productivity-focused tool for hardware design in cycle-accurate SystemC | Mikhail Moiseev, Roman Popov and Ilya Klotchkov | 2019 | Presentation | | y2019 | presentation |
SystemC-to-Verilog Compiler: a productivity-focused tool for hardware design in cycle-accurate SystemC | Mikhail Moiseev, Roman Popov, and Ilya Klotchkov | 2018 | Presentation | | y2018 | presentation |
Taking Design Automation to the next level with User Experience Design | Jamie Lai, Bodo Hoppe | 2022 | Presentation | | y2022 | presentation |
Temporal Assertions in SystemC | Mikhail Moiseev, Leonid Azarenkov and Ilya Klotchkov | 2020 | Paper | | y2020 | paper |
Temporal assertions in SystemC | Mikhail Moiseev, Leonid Azarenkov, and Ilya Klotchkov | 2020 | Presentation | | y2020 | presentation |
Temporal Decoupling – Are “Fast” and “Correct” Mutually Exclusive? | Jakob Engblom | 2018 | Paper | | y2018 | paper |
Temporal Decoupling – Are “Fast” and “Correct” Mutually Exclusive? | Jakob Engblom | 2018 | Presentation | | y2018 | presentation |
Test document link | Test | 2023 | Paper | | y2023 | paper |
Testbench Flexiblity as a Foundation for Success | Ana Sanz Carretero, Katherine Garden, Wei Wei Cheong | 2021 | Paper | | y2021 | paper |
Testbench Linting – open-source way | Srinivasan Venkataramanan, Deepa Palaniappan & Satinder Paul Singh | 2023 | Paper | | y2023 | paper |
The Application of Formal Technology on Fixed Point Arithmetic SystemC Designs | Sven Beyer, Dominik Straßer, and Dave Kelf | 2015 | Presentation | | y2015 | presentation |
The Application of Formal Technology on Fixed-Point Arithmetic SystemC Designs | Sven Beyer, Dominik Straßer, and David Kelf | 2015 | Paper | | y2015 | paper |
The Cost of Standard Verification Methodology Implementations | Abigail Williams, Svetlomir Hristozkov, Adam Hizzey | 2022 | Paper | | y2022 | paper |
The Cost Of Standard Verification Methodology Implementations | Adam Hizzey, Abigail Williams, Svetlomir Hristozkov | 2022 | Presentation | | y2022 | presentation |
The How To’s of Advanced Mixed-Signal Verification | John Brennan, Thomas Ziller, Kawe Fotouhi, and Ahmed Osman | 2015 | Presentation | | y2015 | presentation |
The How To’s of Metric Driven Verification to Maximize Productivity | Matt Graham and John Brennan | 2014 | Presentation | | y2014 | presentation |
The missing SystemC and TLM asynchronous features enabling inter-simulation synchronization. | Guillaume Delbergue, Mark Burton, Bertrand Le Gal and Christophe Jego | 2016 | Paper | | y2016 | paper |
The Open Source DRAM Simulator DRAMSys4.0 | Matthias Jung | 2022 | Presentation | | y2022 | presentation |
The Open-Source DRAM Simulator DRAMSys4.0 | Matthias Jung | 2022 | Paper | | y2022 | paper |
The Road to Robustness: Addressing LPDDR5X PHY Verification Challenges with DFE | Muhammed Asif, Gowdra Bomanna Chethan, Anil Deshpande & Somasunder Kattepura Sreenath | 2023 | Paper | | y2023 | paper |
The Three Body Problem | Peter Birch & Ben Marshall | 2023 | Paper | | y2023 | paper |
The Three Body Problem There’s more to building Silicon than EDA currently helps | Peter Birch & Ben Marshall | 2023 | Presentation | | y2023 | presentation |
The Top Most Common SystemVerilog Constrained Random Gotchas | Ahmed Yehia | 2014 | Paper | | y2014 | paper |
The Top Most Common SystemVerilog Constrained Random Gotchas | Ahmed Yehia | 2014 | Presentation | | y2014 | presentation |
The Universal Translator | David Cornfield | 2014 | Presentation | | y2014 | presentation |
The Universal Translator – A Fundamental UVM Component for Networking Protocols | David Cornfield | 2014 | Paper | | y2014 | paper |
The Universal Translator – A Fundamental UVM Component for Networking Protocols | David Cornfield | 2014 | Presentation | | y2014 | presentation |
Timing-Aware High Level Power Estimation of Industrial Interconnect Module | Amal Ben Ameur, Antonio Genov, François Verdier and Loic Leconte | 2020 | Paper | | y2020 | paper |
Timing-Aware high level power estimation of industrial interconnect module | Amal Ben Ameur, Antonio Genov, François Verdier, and Loic Leconte | 2020 | Presentation | | y2020 | presentation |
TLM based Virtual Platforms at Ericsson Challenges and Experiences | Ola Dahl, Michael Lebert, and Eric Frejd | 2016 | Presentation | | y2016 | presentation |
TLM Beyond Memory Mapped Busses | Bart Vanthournout and Mark Burton | 2016 | Paper | | y2016 | paper |
TLM modeling and simulation for NAND Flash and Solid State Drive systems | Tim Kogel and Victor Reyes | 2017 | Presentation | | y2017 | presentation |
TLM-based Virtual Platforms at Ericsson: Challenges and Experiences | Ola Dahl, Michael Lebert, and Eric Frejd | 2016 | Paper | | y2016 | paper |
Towards 5G Internet of Things | Sabine Roessel | 2017 | Presentation | | y2017 | presentation |
Towards a Hybrid Verification Environment for Signal Processing SoCs | Jan Hahlbeck, Steffen Löbel & Chandana G P | 2023 | Presentation | | y2023 | presentation |
Towards a Hybrid Verification Environment for Signal Processing SoCs | Jan Hahlbeck & Steffen Löbel | 2023 | Paper | | y2023 | paper |
Towards a UVM-based Solution for Mixed-signal Verification | Alexander W. Rath, Sebastian Simon, Volkan Esen, and Wolfgang Ecker | 2016 | Presentation | | y2016 | presentation |
Towards a UVM-based Solution for Mixed-signal Verification | Alexander W. Rath, Sebastian Simon, Volkan Esen, and Wolfgang Ecker | 2016 | Paper | | y2016 | paper |
Transaction‐Based Testing with OSVVM and the OSVVM Model Library | Jim Lewis and Patrick Lehmann | 2019 | Presentation | | y2019 | presentation |
Tutorial 7 Tutorial on RISC-V Design and Verification | Kevin McDermott, Zdenek Prikryl, and Peter Shields | 2018 | Presentation | | y2018 | presentation |
TwIRTee design exploration with Capella and IP-XACT | Philippe Cuenot, Bassem Ouni, and Pierre Gaufillet | 2016 | Presentation | | y2016 | presentation |
TwIRTee: design exploration with Capella and IP-XACT | Bassem Ouni, Philippe Cuenot, and Pierre Gaufillet | 2016 | Paper | | y2016 | paper |
Types of Robustness Test According to DO-254 Guideline for Avionic Systems | Gözde Asena KILINÇ, Yavuz AKSU, Fatih BAYSAL | 2022 | Paper | | y2022 | paper |
Types of Robustness Test According to DO-254 Guideline for Avionic Systems | Gözde Asena KILINÇ, Yavuz AKSU, Fatih BAYSAL | 2022 | Poster | | y2022 | poster |
Types of Robustness Test According to DO-254 Guideline for Avionic Systems | Gözde Asena KILINÇ, Yavuz AKSU, Fatih BAYSAL | 2022 | Presentation | | y2022 | presentation |
Understanding the effectiveness of your system-level SoC stimulus suite | Robert Fredieu, Alan Hunter, and Andreas Meyer | 2014 | Paper | | y2014 | paper |
Understanding the effectiveness of your system-level SoC stimulus suite | Alan Hunter , Robert Fredieu, and Andreas Meyer | 2014 | Poster | | y2014 | poster |
Unified Architecture of L1 and L2 Cache with Low Power Extensions for Multi-Core UVM-based Library Package | Avnita Pal, Priyanka Gharat, Sastry Puranapanda & Darshan Sarode | 2023 | Paper | | y2023 | paper |
Unified Architecture of L1 L2 Cache with Low Power Extensions for MultiCore UVM-based Library Package | Avnita Pal, Priyanka Gharat, Puranapanda Sastry, & Darshan Sarode | 2023 | Presentation | | y2023 | presentation |
Unified Firmware Debug throughout SoC Development Lifecycle | Dimitri Ciaglia, Thomas Winkler, Jurica Kundrata | 2022 | Paper | | y2022 | paper |
Unified firmware debug throughout SoC development lifecycle | D. Ciaglia, T. Winkler, J. Kundrata | 2022 | Presentation | | y2022 | presentation |
Unified Functional Safety Verification Platform for ISO 26262 Compliant Automotive | Joerg Richter | 2019 | Presentation | | y2019 | presentation |
Unified Model/Hardware-in-the-Loop Methodology for Mixed-Signal System Design and Hardware Prototyping | Martin Barnasconi, Wil Kitzen, Thieu Lammers, Paul Ehrlich, Karsten Einwich | 2021 | Paper | | y2021 | paper |
Unified Test Writing Framework for Pre and Post Silicon Verification | Rahulkumar Patel, Pablo Cholbi, Sivasubrahmanya Evani and Raman K | 2019 | Presentation | | y2019 | presentation |
Unifying Mixed-Signal and Low-Power Verification | Adam Sherer, Andre Baguenier, Kawe Fotouhi, Abhijit Madhu Kumar, Qingyu Lin, Raj Mitra, and William Winkeler | 2018 | Presentation | | y2018 | presentation |
Universal Scripting Interface for SystemC | Rolf Meyer, Jan Wagner, Rainer Buchty, and Mladen Berekovic | 2015 | Paper | | y2015 | paper |
Universal Scripting Interface for SystemC | Rolf Meyer | 2015 | Presentation | | y2015 | presentation |
UPF Power Models: Empowering the power intent specification | Amit Srivastava and Harsh Chilwal | 2018 | Paper | | y2018 | paper |
UPF: How to avoid traps in a Hierarchical Implementation Low Power flow? | Frederic Saint-Preux, | 2017 | Presentation | | y2017 | presentation |
UPF: How to avoid traps in a Hierarchical Implementation Low Power flow? | Frederic Saint-Preux | 2017 | Paper | | y2017 | paper |
Use of CDC-jitter-modeling in clock-domaincrossing- circuits in RTL design phase | Jan Hayek, Jochen Neidhardt, and Robert Richter | 2017 | Paper | | y2017 | paper |
Use of CDC-Jitter-Modeling inClock-Domain-Crossing-Circuits in RTL Design Phase | Jan Hayek, JochenNeidhardt, and Robert Richter | 2017 | Presentation | | y2017 | presentation |
Use of Formal Methods for verification and optimization of Fault Lists in the scope of ISO26262 | Felipe Augusto da Silva, Ahmet Cagri Bagbaba, Said Hamdioui, and Christian Sauer | 2018 | Paper | | y2018 | paper |
Use of Formal Methods for verification and optimization of Fault Lists in the scope of ISO26262 | Felipe A. da Silva, Ahmet C. Bagbaba, Said Hamdioui and Christian Sauer | 2018 | Presentation | | y2018 | presentation |
Use Stimulus Domain for Systematic Exploration of Time Dimension and Automatic Testcase Construct | Ning Chen and Martin Ruhwandl | 2018 | Paper | | y2018 | paper |
Using an Enhanced Verification Methodology for Back-to-Back RTL/TLM Simulation | Frank Poppen, Ralph Görgen, Kai Schulz, Andreas Mauderer, Jan-Hendrik Oetjens, Robert Bosch, Joachim Gerlach, and Hochschule, Albstadt-Sigmaringen | 2017 | Presentation | | y2017 | presentation |
Using an Enhanced Verification Methodology for Back-to-Back RTL/TLM Simulation | Frank Poppen, Ralph Görgen, Kai Schulz, Andreas Mauderer, Jan-Hendrik Oetjens, Robert Bosch, Joachim Gerlach, and Hochschule Albstadt-Sigmaringen | 2017 | Paper | | y2017 | paper |
Using Cadence and MathWorksTools Together for Mixed-Signal Design and Verification | Graham Reith and Andrew Beckett | 2017 | Presentation | | y2017 | presentation |
Using Constraints for SystemC AMS Design and Verification | Thilo Vörtler, Karsten Einwich, Muhammad Hassan, and Daniel Große | 2018 | Paper | | y2018 | paper |
Using Constraints for SystemC AMS Design and Verification | Thilo Vörtler, Karsten Einwich, Muhammad Hassan, and Daniel Große | 2018 | Presentation | | y2018 | presentation |
Using Dependency Injection Design Pattern in Power Aware Tests | Mehmet Tukel, Luca Sasselli, David Guthrie | 2021 | Paper | | y2021 | paper |
Using Formal to Prevent Deadlocks | Abdelouahab Ayari, Mark Eslinger and Joe Hupcey III | 2020 | Paper | | y2020 | paper |
Using High-level Synthesis and Emulation to Rapidly Develop AI Algorithms in Hardware | John Stickley and Petri Solanti | 2018 | Presentation | | y2018 | presentation |
Using HLS to improve Design-for-Verification of multi-pipeline designs with resource sharing | Sarmad Dahir, Nils Luetke-Steinhorst, Christian Sauer | 2021 | Paper | | y2021 | paper |
Using Mutation Coverage for Advanced Bug Hunting and Verification Signoff | Nicolae Tusinschi | 2018 | Presentation | | y2018 | presentation |
Using Open-Source EDA Tools in an Industrial Design Flow | Daniela Sánchez Lopera, Sven Wenzek, Wolfgang Ecker | 2022 | Paper | | y2022 | paper |
Using Open-Source EDA Tools in an Industrial Design Flow | Daniela Sánchez Lopera, Prajwal Kashyap, Nicolas Gerlin, Sven Wenzek, Wolfgang Ecker | 2022 | Presentation | | y2022 | presentation |
Using UVM-ML library to enable reuse of TLM2.0 models in UVM test benches | Sarmad Dahir, Hans-Martin Bluethgen, Rafael Zuralski, Nils Luetke-Steinhorst, and Christian Sauer | 2018 | Paper | | y2018 | paper |
Using UVM-ML library to enable reuse of TLM2.0 models in UVM test benches | Samah Dahir | 2018 | Presentation | | y2018 | presentation |
UVM & Emulation Architecting SystemVerilog UVM Testbenches for Simulation-Emulation Portability to Boost Block-to-System Verification Productivity – Tutorial | Hans van der Schoot and Ellie Burns-Brookens | 2014 | Presentation | | y2014 | presentation |
UVM and Emulation: How to Get Your Ultimate Testbench Acceleration Speed-up | Hans van der Schoot and Ahmed Yehia | 2015 | Paper | | y2015 | paper |
UVM and Emulation: How to Get Your Ultimate Testbench Acceleration Speed-up | Hans van der Schoot and Ahmed Yehia | 2015 | Poster | | y2015 | poster |
UVM Audit Tutorial Assessing UVM Testbenches to Expose Coding Errors & Improve Quality | Mark Litterick | 2018 | Presentation | | y2018 | presentation |
UVM based Hardware/Software Co- Verification of a HW Coprocessor using Host Execution Techniques | François Cerisier, Christian Rivier, Andrea Battistella, and Arnaud Grasset | 2018 | Presentation | | y2018 | presentation |
UVM based Hardware/Software Co-Verification of a HW Coprocessor using Host Execution Techniques | François Cerisier, Christian Rivier, Andrea Battistella and Arnaud Grasset | 2019 | Presentation | | y2019 | presentation |
UVM goesUniversal -IntroducingUVM in SystemC | Stephan Schulz, Thilo Vörtler, and Martin Barnasconi | 2015 | Presentation | | y2015 | presentation |
UVM hardware assisted acceleration with FPGA co-emulation | Alex Grove | 2015 | Presentation | | y2015 | presentation |
UVM Made Language Agnostic – Introducing UVM For SystemC Application of UVM-SystemC | Akhila M | 2017 | Paper | | y2017 | paper |
UVM Made Language Agnostic: Introducing UVM For SystemC | Akhila M | 2017 | Presentation | | y2017 | presentation |
UVM mixed signal extensionsSharing Best Practice and Standardization Ideas | Joen Westendorp, Sebastian Simon, and Joachim Geishauser | 2018 | Presentation | | y2018 | presentation |
UVM Rapid Adoption: A Practical Subset of UVM | Stuart Sutherland and Tom Fitzpatrick | 2015 | Presentation | | y2015 | presentation |
UVM Ready: Transitioning Mixed-Signal Verification Environments to Universal Verification Methodology | Arthur Freitas, Régis Santonja | 2014 | Paper | | y2014 | paper |
UVM Ready: Transitioning Mixed-Signal Verification Environments to Universal Verification Methodology | Arthur Freitas, Régis Santonja | 2014 | Presentation | | y2014 | presentation |
UVM Register Map Dynamic Configuration | Matteo Barbati and Alberto Allara | 2018 | Paper | | y2018 | paper |
UVM Register Map Dynamic Configuration | Matteo Barbati and Alberto Allara | 2018 | Presentation | | y2018 | presentation |
UVM SystemC Functional coverage & constrained randomization | Stephan Gerth, Dragos Dospinescu, Muhammad Hassan, Thilo Vörtler and Manuel Soto | 2019 | Presentation | | y2019 | presentation |
uvm_mem – challenges of using UVM infrastructure in a hierarchical verification | Joachim Geishauser, Aditya Chopra, Stephan Ruettiger, Luca Rossi, Sanjay Kakasaniya, L.N. Zhang | 2022 | Paper | | y2022 | paper |
uvm_mem – challenges of using UVM infrastructure in a hierarchical verification | Joachim Geishauser, Aditya Chopra, Stephan Ruettiger, Luca Rossi, Sanjay Kakasaniya, L.N. Zhang | 2022 | Presentation | | y2022 | presentation |
UVM-Light A Subset of UVM for Rapid Adoption | Stuart Sutherland and Tom Fitzpatrick | 2015 | Paper | | y2015 | paper |
UVM-Multi-Language Hands-On | Thorsten Dworzak and Angel Hidalga | 2017 | Presentation | | y2017 | presentation |
UVM-Multi-Language Hands-On Integrating a System-C model into a SV-UVM testbench | Thorsten Dworzak and Angel Hidalga | 2017 | Paper | | y2017 | paper |
UVM-SystemC Applications in the real world | Stephan Schulz, Thilo Vörtler, and Martin Barnasconi | 2014 | Presentation | | y2014 | presentation |
UVM-SystemC based hardware in the loop simulations for accelerated Co-Verification | Paul Ehrlich, Thang Nguyen, and Thilo Vörtler | 2014 | Paper | | y2014 | paper |
UVM-SystemC based hardware in the loop simulations for accelerated Co-Verification | Paul Ehrlich, Thang Nguyen, and Thilo Vörtler | 2014 | Presentation | | y2014 | presentation |
UVM-SystemC: Migrating complex verification environments | Stephan Gerth and Akhila Madhukumar | 2017 | Presentation | | y2017 | presentation |
UX Design & EDA – Enable Collaboration on Functional Coverage using Opens Source Software | Bodo Hoppe, Jamie Lai | 2022 | Paper | | y2022 | paper |
Validation of Timing Constraints on RTL Reducing Risk and Effort on Gate-Level | Peter Limmer, Dirk Moeller, Marcus Mueller and Clemens Roettgermann | 2016 | Presentation | | y2016 | presentation |
Validation of Timing Constraints on RTL Reducing Risk and Effort on Gate-Level | Peter Limmer, Dirk Moeller, Marcus Mueller, and Clemens Roettgermann | 2016 | Paper | | y2016 | paper |
Variation-Aware Performance Verification of Analog Mixed-Signal Systems | Carna Zivkovic; Jan Roedel; Neha Chavan; Frank Rethmeier; Christoph Grimm | 2023 | Paper | | y2023 | paper |
Verification 2.0 – Multi Engine, Multi-Run – AI-Driven Verification | Matt Graham | 2022 | Paper | | y2022 | paper |
Verification 2.0 – Multi Engine, Multi-Run AI-Driven Verification | Matt Graham | 2022 | Presentation | | y2022 | presentation |
Verification Challenges For Deep Color Mode In HDMI | Snigdha Arora and Apoorva Mathur | 2016 | Presentation | | y2016 | presentation |
Verification Challenges for Deep Color Mode in HDMI | Snigdha Arora and Apoorva Mathur | 2016 | Paper | | y2016 | paper |
Verification IP for Complex Analog and Mixed-Signal Behavior | Thilo Vörtler and Karsten Einwich | 2017 | Presentation | | y2017 | presentation |
Verification IP for Complex Analog and Mixed-Signal Behavior | Thilo Vörtler and Karsten Einwich | 2017 | Paper | | y2017 | paper |
Verification of a Multi-language Components A case Study: Specman E Env with SV UVM VIP | Eran Lahav | 2020 | Poster, Presentation | | y2020 | poster presentation |
Verification of a Multi-language Components A Case Study: Specman E Environment with SystemVerilog UVM | Eran Lahav | 2020 | Paper | | y2020 | paper |
Verification of an AXI cache controller using multi-thread approach based on OOP design pattern | Francesco Rua’ & Péter Sági | 2023 | Presentation | | y2023 | presentation |
Verification of an AXI cache controller with a multi-thread approach based on OOP design patterns | Francesco Rua’ & Péter Sági | 2023 | Paper | | y2023 | paper |
Verification of High-Speed Links through IBIS-AMI Models | Ganesh Rathinavel | 2022 | Presentation | | y2022 | presentation |
Verification of High-Speed Links through IBIS-AMI Models | Ganesh Rathinavel | 2022 | Paper | | y2022 | paper |
Verification of Inferencing Algorithm Accelerators | Russell Klein, Petri Solanti | 2022 | Paper | | y2022 | paper |
Verification of Inferencing Algorithm Accelerators | Russell Klein, Petri Solanti | 2022 | Presentation | | y2022 | presentation |
Verification of Virtual Platform Models – What do we Mean with Good Enough? | Jakob Engblom, Ola Dahl | 2022 | Paper | | y2022 | paper |
Verification of Virtual Platform Models – What do we Mean with Good Enough? | Ola Dahl, Jakob Engblom | 2022 | Presentation | | y2022 | presentation |
Verifying Functional, Safety and Security Requirements (for Standards Compliance) | Mike Bartley | 2015 | Presentation | | y2015 | presentation |
Verilator + UVM-SystemC: a match made in heaven | Luca Sasselli | 2023 | Paper | | y2023 | paper |
Versatile UVM Scoreboarding | Jacob Andersen, Peter Jensen, and Kevin Steffensen | 2014 | Paper | | y2014 | paper |
Versatile UVM Scoreboarding | Jacob Andersen, Peter Jensen, and Kevin Steffensen | 2014 | Presentation | | y2014 | presentation |
Vertical Reuse of functional verification from subsystem to SoC level (with seamless SoC emulation) | Pranav Kumar, Digvijaya Pratap SINGH, and Ankur Jain | 2014 | Presentation | | y2014 | presentation |
Virtual ECUs with QEMU and SystemC TLM-2.0 | Lukas Jünger, Jan Henrik Weinstock, Munish Jassi, Megumi Yoshinaga, Hitoshi Hamio, Koichi Sato | 2023 | Presentation | | y2023 | presentation |
Virtual ECUs with QEMU and SystemC TLM-2.0 | Lukas Jünger, Jan Henrik Weinstock, Munish Jassi, Megumi Yoshinaga, Hitoshi Hamio & Koichi Sato | 2023 | Paper | | y2023 | paper |
Virtual Platforms for Automotive: Use Cases, Benefits and Challenges | Angela Kramer and Martin Vaupel | 2014 | Presentation | | y2014 | presentation |
Virtual Platforms for complex IP within system context | Rocco Jonack | 2015 | Presentation | | y2015 | presentation |
Virtual Prototypes and PlatformsA Primer | Eyck Jentzsch, Rocco Jonack, and Josef Eckmüller | 2017 | Presentation | | y2017 | presentation |
Virtual Prototyping in SpaceFibre System-on-Chip Design | Ilya Korobkov | 2015 | Presentation | | y2015 | presentation |
Virtual Prototyping in SpaceFibre System-on-Chip Design System-level design | Elena Suvorova | 2015 | Paper | | y2015 | paper |
Virtual Prototyping of Power Converter Systems based on AURIX™ using SystemC AMS | Radovan Vuletic, Dineshkumar Selvaraj, Thomas Arndt | 2021 | Paper | | y2021 | paper |
Virtual Prototyping using SystemC and TLM-2.0 | John Aynsley | 2014 | Presentation | | y2014 | presentation |
Virtual Testing of Overtemperature Protection Algorithms in Automotive Smart Fuses | Thomas Markwirth, Gabriel Pachiana, Christoph Sohrmann, Mehdi Meddeb, Gunnar Bublitz & Heinz Wagensonner | 2023 | Presentation | | y2023 | presentation |
Virtual testing of overtemperature protection algorithms in automotive smart fuses | Thomas Markwirth, Gabriel Pachiana, Christoph Sohrmann, Mehdi Meddeb, Gunnar Bublitz & Heinz Wagensonner | 2023 | Paper | | y2023 | paper |
VP Performance Optimization – How to analyze and optimize the speed of SystemC TLM models? | Rocco Jonack and Juan Lara Ambel | 2014 | Paper | | y2014 | paper |
VP Performance Optimization – How to analyze and optimize the speed of SystemC TLM models? | Rocco Jonack and Juan Lara Ambel | 2014 | Presentation | | y2014 | presentation |
VPSim: Virtual Prototyping Simulator with best accuracy & execution time trade-off for High Performance Computing systems evaluation and benchmarking | Mohamed Benazouz, Ayoub Mouhagir & Lilia Zaourar | 2023 | Paper | | y2023 | paper |
Wave Digital Filter Modeling for Complex Automotive Sensor Load Case Verification | Andrei-Daniel Basa, Thang Nguyen, and Dirk Hammerschmidt | 2015 | Paper | | y2015 | paper |
Wave Digital Filter Modeling for Complex Automotive Sensor Load Case Verification | A. Basa, T. Nguyen, and D. Hammerschmidt | 2015 | Presentation | | y2015 | presentation |
Web Template Mechanisms in SOC Verification | Alberto Allara, Via Tolomeo, Rinaldo Franco, and Via Remo | 2015 | Paper | | y2015 | paper |
Web Template Mechanisms in SOC Verification | Rinaldo Franco and Alberto Allara | 2015 | Presentation | | y2015 | presentation |
What is needed on top of TLM-2 for bigger Systems? | Jerome Cornet and Martin Schnieringer | 2015 | Presentation | | y2015 | presentation |
What is new in IP-XACT IEEE Std. 1685-2022? | Erwin de Kock, Jean-Michel Fernandez, Devender Khari | 2022 | Paper | | y2022 | paper |
What is new in IP-XACT Std. IEEE 1685-2022? | Erwin de Kock, Jean-Michel Fernandez, Devender Khari | 2022 | Presentation | | y2022 | presentation |
What is next for SystemC Synthesizable Subset? | Peter Frey | 2016 | Paper | | y2016 | paper |
Who takes the driver seat for ISO 26262 and DO 254 verification? | Avidan Efody | 2015 | Paper | | y2015 | paper |
Who takes the driver seat for ISO 26262 and DO 254 verification? | Avidan Efody | 2015 | Presentation | | y2015 | presentation |
YAMMYet Another Memory Manager | Andrei Vintila and Ionut Tolea | 2016 | Presentation | | y2016 | presentation |
Yet Another Memory Manager (YAMM) | Ionut Tolea and Andrei Vintila | 2016 | Paper | | y2016 | paper |