DVCon: India

Use the filters below to find your document.

TitleAuthor(s)YearTypeLinkhf:tax:event_yearhf:tax:document_type
“What-If” analysis of Safety Mechanism’s impacts on ETHMAC design under Functional Safety flowUdaykrishna J, Sujatha Hiremath, Kapil Kumar, Sachin Pathak, Gaurav Goel2022Presentationy2022presentation
A 360 Degree View of UVM EventsVikas Billa, Nagesh Kokonda2017Presentationy2017presentation
A Faster and Efficient Timing Constraint Verification Methodology for GFX SOCsVineeth B, Deepmala Sachan2023Presentationy2023presentation
A Faster and Efficient Timing Constraint Verification Methodology for GFX SOCsVineeth B, Deepmala Sachan2023Papery2023paper
A Framework for Verification of Program Control Unit of VLIW processorsSanthosh Billava, Sharangdhar M Honwadkar2014Presentationy2014presentation
A Framework for Verification of Program Control Unit of VLIW ProcessorsSanthosh Billava, Sharangdhar M Honwadkar2014Papery2014paper
A Generic Configurable Error Injection Agent for All On-Chip MemoriesNiharika Sachdeva, Arjun Suresh Kumar, Raviteja Gopagiri, Anil Deshpande, Somasunder Kattepura Sreenath, Damandeep Saini2022Presentationy2022presentation
A Generic Configurable Error Injection Agent for On-Chip MemoriesNiharika Sachdeva, Arjun Suresh Kumar, Raviteja Gopagiri, Anil Deshpande, Somasunder Kattepura Sreenath2022Papery2022paper
A Generic Verification Methodology for Chip to Chip Interrupt Handling in a Multi-Chip SoC (3DIC)Vignesh Adiththan, Padma Vutukuru, Lalithraj Mailappa, Sekhar Dangudubiyyam2023Presentationy2023presentation
A Generic Verification Methodology for Chip to Chip Interrupt Handling in a Multi-Chip SoC (3DIC)Vignesh Adiththan, Padma Vutukuru, Lalithraj Mailappa, Sekhar Dangudubiyyam2023Papery2023paper
A Holistic Overview on Preventive & Corrective Action To Handle GlitchesRohit Kumar Sinha, Parimal Das2022Postery2022poster
A Method to Accelerate SoC Implementation Cycle by Automatically Generating CDC ConstraintsAshish Hari, Sulabh Kumar Khare2015Presentationy2015presentation
A Methodology for Interrupt Analysis in Virtual PlatformsPuneet Dhar2015Presentationy2015presentation
A Methodology for Using Traffic Generators with Real-Time ConstraintsAvinash Mehta2015Presentationy2015presentation
A Methodology to Reuse Unit Level Validation InfrastructureAshutosh Parkhi2017Presentationy2017presentation
A New Epoch is Beginning: Are You Getting Ready for Stepping Into UVM-1.2?Roman Wang,Uwe Simm2014Papery2014paper
A New Epoch is Beginning: Are You Getting Ready for Stepping Into UVM-1.2?Roman Wang, Uwe Simm2014Postery2014poster
A Novel Approach to Hardware Controlled Power Aware Verification with Optimized Power Consumption Techniques at SOCEldin Ben Jacob, Harshal Kothari, Sriram Kazhiyur Soundarrajan, Somasunder Kattepura Sreenath2022Papery2022paper
A Novel Approach to Reduce Power Consumption By Bridging The Gap between Standalone Functional Scenario and Real Time Scenario at SOCEldin Ben Jacob, Harshal Kothari, Sriram Kazhiyur Soundarrajan, Somasunder Kattepura Sreenath2022Postery2022poster
A Pragmatic Approach Leveraging Portable Stimulus from Subsystem to SoC level and SoC EmulationKarandeep Singh, Aditya Chopra, Joachim Geishauser, Nitin Verma2019Presentationy2019presentation
A Pragmatic Approach Leveraging Portable Stimulus from Subsystem to SoC level and SoC EmulationKarandeep Singh, Aditya Chopra, Joachim Geishauser, Nitin Verma2019Papery2019paper
A Real-World Clock Generator Class for UVMRhitam Datta, Ankit Somani2017Presentationy2017presentation
A Recipe for swift Tape-out of Derivative SoCs: A Comprehensive Validation Approach using Formal-based Sequential Equivalence and Connectivity CheckingPriyanshu Jain, Saket Gaddagi, Sandeep Kumar and Ipshita Tripathi2022Presentationy2022presentation
A Reconfigurable And Fully Automated SRAM Environment Generation Flow for Robust Verification And To Accelerate DV ClosureVinay Swargam, Lakshmana Kumar Arumugasamy, Sriram Kazhiyur Sounderrajan2023Postery2023poster
A Reusability Combat in UVM Callbacks vs FactoryDeepak Kumar EV, Vikas Billa, Satish Dadi, Ranganath Kempanahally2015Postery2015poster
A scalable framework to validate interconnect-based firewalls to enhance SoC security coverageAshutosh Mishra, Suresh Vasu2022Presentationy2022presentation
A scalable framework to validate interconnect-based firewalls to enhance SoC security coverageAshutosh Mishra, Suresh Vasu2022Papery2022paper
A Systematic Methodology for Verifying Clock Domain Crossing ReconvergencePriya Viswanathan2017Presentationy2017presentation
A Unified Framework for Multilanguage Verification IPs IntegrationSurinder Sood, Selvakumar Krishnamoorthy, Guarav Jalan2015Presentationy2015presentation
A UVM Based Methodology for Processor VerificationAbhineet Bhojak, Tejbal Prasad2015Presentationy2015presentation
Absolute GLS Verification An Early Simulation of Design Timing ConstraintsAteet Mishra, Deepak Mahajan, Shiva Belwal2015Presentationy2015presentation
Accelerating ML TB Integration for Reusability Using UVM ML OASaleem Khan, Prasanna Kumar2017Presentationy2017presentation
Accelerating Semiconductor Time to ISO 26262 ComplianceKirankumar Karanam2022Presentationy2022presentation
Accelerating SoC Sensor Network Verification Sign-off through Dynamic and Formal SynergyVinod Usha, Shreeram Hegde, Prasad Reddy2023Postery2023poster
Accelerating SoC Sensor Network Verification Sign-off through Dynamic and Formal SynergyVinod Usha, Shreeram Hegde, Prasad Reddy2023Presentationy2023presentation
Accelerating SOC Verification, Reducing Simulation Turnaround Time & Diskspace Optimization using Dynamic Test Reload (DTR)Prashant Hota & Shekhar Jha2023Postery2023poster
Accelerating the SoC Integration Verification Cycle Time Leveraging the Legacy Design ConfidenceAbhinav Parashar, Prasanth Kumar Narava2022Presentationy2022presentation
Accelerating the SoC Integration Verification Cycle Time Leveraging the Legacy Design ConfidenceAbhinav Parashar, Prasanth Kumar Narava2022Papery2022paper
Accellera Systems Initiative SystemC Standards UpdateBishnupriya Bhattacharya2015Presentationy2015presentation
Accellera UpdateLu Dai2023Presentationy2023presentation
Achieve Faster Debug Closure by Applying Big Data & Advanced RCA TechnologiesSundararajan Ananthakrishnan, Sundararajan PH2022Presentationy2022presentation
Achieving Real Time Performance for Algorithms Using SOC TLM ModelSaurin Patel, Pushkar Sareen, Sharath Naidu, Baljinder S Sood2015Presentationy2015presentation
Adaptive UVM AMOD Testbench for Configurable DSI IPKrishnapal Singh, Pavan Yeluri, Ranjith Nair2019Papery2019paper
Adding Agility to Hardware Design-Verification using UVM & AssertionsFrancois Cerisier, Ajeetha Kumari, Gurubasappa, Srujana Reddy2017Presentationy2017presentation
Addressing the Challenges of ABV in Complex SOCsRithin A N, Arif M, Rupinjeet Singh, Jeevan2015Postery2015poster
Adopting UVM for FPGA VerificationKamalesh Vikramasimhan, Shridevi Biradar2017Presentationy2017presentation
Advanced RISC-V Verification Technique Learnings for SoC ValidationDavid Kelf, Nambi Ju2023Presentationy2023presentation
Advanced RISC-V Verification Technique Learnings for SoC ValidationDavid Kelf, Nambi Ju2023Presentationy2023presentation
Advanced specification driven methodology for quick and accurate RDC signoffSai Jagadeesh Ambati, Sulabh Kumar Khare, Atul Sharma2023Postery2023poster
Advanced UVM Coding TechniquesDavid Long2016Presentationy2016presentation
Advancements in UVM Test Bench Architecture for Verifying High Speed MIPI MPHY 5.0 IPEldhose P.M, Sagar Jayakrishnan, Suraj Vijay Shetty, Kuntal Pandya, Parag S. Lonkar2022Presentationy2022presentation
Advancements in UVM Test Bench Architecture for Verifying High Speed MIPI MPHY 5.0 IPEldhose P.M, Sagar Jayakrishnan, Suraj Vijay Shetty, Kuntal Pandya, Parag S. Lonkar2022Papery2022paper
AMS Verification at SoC Level: A Practical Approach for Using VAMS vs SPICE ViewsNitin Pant, Gautham Harinarayan, Manmohan Rana2015Presentationy2015presentation
An Automated Systematic CDC Verification Methodology based on SDC SetupAshish Hari, Sulabh Kumar Khare2014Presentationy2014presentation
An Efficient Verification Methodology to Achieve DV Sign-off with Emphasis on Quality and Quicker DV CyclePiyush Agnihotri, Nirmal Kumar, Arnab Ghosh, Parag S Lonkar 2023Presentationy2023presentation
An Efficient Verification Methodology to Achieve DV Sign-off with Emphasis on Quality and Quicker DV CyclePiyush Agnihotri, Nirmal Kumar, Arnab Ghosh, Parag S Lonkar2023Papery2023paper
An Industry Proven UVM Reuse Methodology for Coverage Driven Block Level Verification to SW Driven Chip Level Verification Across Simulation & EmulationPradeep Salla, Keshav Joshi2016Presentationy2016presentation
An Introduction to the Accellera Portable Stimulus StandardSrivatsa Vasudevan, Pradeep Salla, Sharon Rosenberg, Adnan Hamid, Karthick Gururaj, Srivatsa Vasudevan, Bishnupriya Bhattacharya2017Presentationy2017presentation
An Overview of Ethernet 10Base-T1S in Automotive SoC and its VerificationBipul Halder, Jagtar Singh, Sahana S, Pushpal Nautiyal, Gaurav Chugh2023Presentationy2023presentation
ARC EM Core with Safety Package – ISO 26262 CertificationVikas Bhandari2015Postery2015poster
Architecturally Scalable Testbench for Complex SoCSenthilnath Subbarayan, Arulanandan Jacob, Sandeep Kumar2019Papery2019paper
ASIL B/D Fault Campaign Strategy for Computer Vision core using Soft Test Library (STL)Shiva Pokala, Vasista A2023Presentationy2023presentation
Assisting Fault Injection Simulations for Functional Safety Sign-off using FormalPulicharla Ravindrareddy2019Presentationy2019presentation
Assisting Fault Injection Simulations for Functional Safety Sign-off using FormalPulicharla Ravindrareddy2019Papery2019paper
Automated code generation for Early AURIX TM VPPratheek Mahesh and Dineshkumar Selvaraj2021Postery2021poster
Automated code generation for Early AURIX TM VPPratheek Mahesh and Dineshkumar Selvaraj2021Presentationy2021presentation
Automated correct-by-construct methodology for RTL design and analog mixed-signal test bench generation: Enables early design closure of mixed-signal SoCLakshmanan Balasubramanian, Murugesh Prashanth Subramaniam, Atul Ramakant Lele, and Ranjit Kumar Dash2014Papery2014paper
Automated Floating Trash Collecting BoatKaramalaputti Rahul, Gandham Magaraju2023Postery2023poster
Automated Toggle Coverage Framework for AURIX TM TC4xx Virtual PrototypeS N Ranjan and Puttaiah Jagadish2021Presentationy2021presentation
Automated Toggle Coverage Framework for AURIX TM TC4xx Virtual PrototypeS N Ranjan and Puttaiah Jagadish2021Postery2021poster
Automated Toggle Coverage Framework for AURIX TM TC4xx Virtual PrototypeS N Ranjan and Puttaiah Jagadish2021Presentationy2021presentation
Automated Toggle Coverage Framework for AURIX TM TC4xx Virtual PrototypeS N Ranjan and Puttaiah Jagadish2021Postery2021poster
Automated Traffic Simulation Framework for SoC Performance AnalysisDiviya Jain, Tarun Kathuria 2017Presentationy2017presentation
Automated vManager regression using JenkinsSneha Gokarakonda2022Postery2022poster
Automated, Systematic CDC Verification Methodology Based on SDC SetupAshish Hari, Sulabh Kumar Khare2014Papery2014paper
Automatic Generation of Implementation Layer for Embedded System using PSS and SystemRDLSudhir Bisht, Nikita Gulliya2023Postery2023poster
Automatic Generation of Implementation Layer for Embedded System using PSS and SystemRDLSudhir Bisht2023Presentationy2023presentation
Automatic Generation of Infineon Microcontroller Product ConfigurationsPrateek Chandra, Leily Zafari, Boyko Traykov2019Presentationy2019presentation
Automatic Generation of Infineon Microcontroller Product ConfigurationsPrateek Chandra, Leily Zafari, Boyko Traykov2019Papery2019paper
Automatically Synthesizing Higher Level of Protocol Abstraction for Faster Debug and Deeper Insight Into Modern Digital DesignsAlasdair Ferro, Amar Patel, Chris Jones, Yogesh Badaya2019Papery2019paper
Automating information retrieval from EDA software reports using effective parsing algorithmsManish Bhati2022Postery2022poster
Automation of Waiver and Design Collateral generation for scalable IPsGopalakrishnan Sridhar, Vadlamuri Venkata Sateeshm, Midhun Krishna2019Papery2019paper
Automation of Waiver and Design Collateral Generation on Scalable IPsGopalakrishnan Sridhar, Midhun Krishna, Vadlamuri, Venkata Sateesh2019Presentationy2019presentation
Automotive RADAR Bitfields Verification to support Validation of Silicon bring-upAmol Dhok, Paulraj M K2023Presentationy2023presentation
Automotive RADAR Bitfields Verification to support Validation of Silicon bring-upAmol Dhok, Paulraj M K2023Papery2023paper
Back to Basics: Doing Formal “The Right Way”Joseph Hupcey III, Saumitra Goel 2017Presentationy2017presentation
Benefits of PSS coverage at SOC & its limitationsSundararajan Haran and Saleem Khan2019Presentationy2019presentation
Benefits of PSS Coverage at SOC and Its LimitationsSundararajan Haran, Saleem Khan2019Papery2019paper
Break the SoC with Random UVM Instruction DriverBogdan Todea, Pravin Wilfred, Madhukar Mahadevappa, Diana Dranga2019Papery2019paper
Breaking Down Barriers: Seamless Protocol Conversion with UVM Component LayeringSantosh Mahale, Shantanu Lele2023Presentationy2023presentation
Breaking Down Barriers: Seamless Protocol Conversion with UVM Component LayeringSantosh Mahale, Shantanu Lele2023Papery2023paper
Bring IP Verification Closer to SoC Scalable Methods to Bridge the Gap Between IP and SoC VerificationGaurav Gupta, Tejbal Prasad, Rohit Goyal, Sachin Jain, Vipin Verma2014Papery2014paper
Bring IP Verification Closer to SoC Scalable Methods to Bridge the Gap Between IP and SoC VerificationGaurav Gupta, Tejbal Prasad, Rohit Goyal, Sachin Jain, Vipin Verma2014Presentationy2014presentation
Bringing DataPath Formal to Designers’ FootstepsM, Achutha KiranKumar V, Disha Puri, Shriya Dharade2019Papery2019paper
Bringing Reset Domains and Power Domains together – Non resettable registers amplifying issues in Power-Aware RDC Verification due to UPF InstrumentationManish Bhati, Inayat Ali2022Presentationy2022presentation
Building And Modelling Reset Aware Testbench For IP Functional VerificationNaishal Shah2019Papery2019paper
Building Configurable UVM Testbench for Configurable Design IP (Configurable TB)Kilaru Vamsikrishna, Sushrut B Veerapur2022Presentationy2022presentation
Building UVM Testbenches for High Quality Serial Design IPs Modelling real world scenariosKilaru Vamsikrishna, Sushrut B Veerapur2022Postery2022poster
Case-study: Generating A Workload Model of the Chrome Browser from Android Execution Traces For Early Analysis of Power and Performance Trade-offsVikrant Kapila, Tim Kogel, Holger Keding, Amit Tara Amit Dudeja, Nishant Gautam2017Presentationy2017presentation
Challenges in Mixed Signal VerificationAmlan Chakrabarti, Sachin-Sudhakar Kulkarni2015Presentationy2015presentation
Challenges of Formal Verification on Deep Learning Hardware AcceleratorYellinidi Dasarathanaidu2019Presentationy2019presentation
Challenges of Formal Verification on Deep Learning Hardware AcceleratorYellinidi Dasarathanaidu2019Papery2019paper
Challenges with Power Aware Simulation and Verification MethodologiesDivyeshkumar Vora2015Presentationy2015presentation
Challenges, Complexities and Advanced Verification Techniques in Stress Testing of Elastic Buffer in High Speed SERDES IPsKamesh Velmail, Suvadeep Bose, Ankit Garg, Parag S Lonkar, Somasunder K Sreenath2019Presentationy2019presentation
Challenges, Complexities and Advanced Verification Techniques in Stress Testing of Elastic Buffer in High Speed SERDES IPsKamesh Velmail, Suvadeep Bose, Parag Lonkar, Ankit Garg2019Papery2019paper
Channel Modelling in Complex Serial IPsJayesh Ranjan Majhi, Saravana Balakrishnan, Navnit Kumar Kashyap2022Postery2022poster
Channel Modelling in Complex Serial IPsJayesh Ranjan Majhi, Saravana Balakrishnan, Navnit Kumar Kashyap2022Papery2022paper
Choice is Yours: Either Struggle to Tame ‘X’ in the Wilderness of Multimillion Gates and Nets, OR Take it for a Walk in the RTL ParkNitin Jaiswal, Harsh Garg, Mayank Bindal2014Presentationy2014presentation
Code-Test-Verify all for free – Assertions + VerilatorHemamalini Sundaram, Kasthuri Srinivas, Supriya Ummadisetty2023Presentationy2023presentation
Code-Test-Verify all for free – Assertions + VerilatorHemamalini Sundaram, Kasthuri Srinivas, Supriya Ummadisetty2023Papery2023paper
Complementing Verification of Highly Configurable Design with Formal TechniquesManik Tyagi, Deepak Jindal2015Postery2015poster
Compliance Driven Integrated Circuit Development Based on ISO26262Haridas Vilakathara and Manikandan Panchapakesan2014Papery2014paper
Compliance Driven Integrated Circuit Development Based on ISO26262Haridas Vilakathara, Manikantan panchapakesan2014Presentationy2014presentation
Comprehensive Benchmark Results: Comparing Processing-in-Memory Architecture Effectiveness using UPMEM HardwareAmbati Rajashekar, Pranjit Das, Avi kumar Shrivastava, Partha Acharya2023Postery2023poster
Compute Link Express – CXL – CXL ConsortiumNarasimha Babu2022Presentationy2022presentation
Configurable Testbench (TB) for Configurable Design IPKilaru Vamsikrishna, Sushrut B Veerapur2022Papery2022paper
Configuration in UVM:The Missing ManualMark Glasser2014Presentationy2014presentation
Configuration in UVM: The Missing ManualMark Glasser2014Papery2014paper
Counterintuitive approaches to have better communication between UVM and Python for registers with Single Controlling AlgorithmSougata Bhattacharjee2023Postery2023poster
Coverage Acceleration and Testcase Pruning using Smart Stimuli Generator in SOC VerificationRahul Laxkar, Naveen Srivastava, Sekhar Dangudubiyyam2023Presentationy2023presentation
Coverage Acceleration and Testcase Pruning using Smart Stimuli Generator in SOC VerificationRahul Laxkar, Naveen Srivastava, Sekhar Dangudubiyyam2023Papery2023paper
Coverage Closure – Is it a “Game of Dice” or “Top 10 Tests” or “Automated Closure”?Aditya Sharma, T. Nagasundaram, M. Nitin Kumar, Nikhita Raj J 2015Presentationy2015presentation
Covering All the bases: Coverage-driven Formal Verification Sign-off of Pipelined Error Detection FilterHarbaksh Gupta, Anshul Jain2023Presentationy2023presentation
Covering All the bases: Coverage-driven Formal Verification Sign-off of Pipelined Error Detection FilterHarbaksh Gupta, Anshul Jain2023Papery2023paper
Cross-Domain Datapath Validation Using Formal Proof AcceleratorsAarti Gupta, S. S. Bindumadhava, M. Achutha KiranKumar V, Liu Jun B2014Presentationy2014presentation
CXL verification using portable stimulusKarthick Gururaj2023Presentationy2023presentation
Data Flow Based Memory IP Creation InfrastructureAbhilash V Nair, Praveen Buddireddy, Tor Jeremiassen, Rashmi Venkatesh, and Prajakta Bhutada2014Papery2014paper
DDR Controller IP Evaluation Studies using Trace Based MethodologyAbhilash Nair, Amit Nene, Ritesh Sojitra, Prashant Karandikar and Prajakta Bhutada2014Papery2014paper
Debug Time Reduction by Automatic Generation of Waiver List Using ML TechniquesVardhana M, Akshay Jain, Kota Subba Rao Sajja2022Presentationy2022presentation
Debugging Linux Kernel Failures on Virtual PlatformSandeep Jain2017Presentationy2017presentation
Design & Verify Virtual Platform with reusable TLM 2.0Ankush Kumar2015Presentationy2015presentation
Design Methodology for Highly Cycle Accurate SystemC Models with Better PerformanceSimranjit Singh, Prasanth Sasidharan, Sameer Deshpande, and Sandeep Puttappa2014Papery2014paper
Design space exploration of PIM (Processing in Memory) & PNC (Processing Near Memory) based on workload characterizationAmarnath, Judhajit2022Presentationy2022presentation
Design verification of a cascaded mmWave FMCW RadarShweta Pujar, Vijay M, Sainath Karlapalem, Dharani Sharavanan, Kavya P S2023Postery2023poster
Designing A PSS Reuse StrategyMatthew Ballance2019Papery2019paper
Digital Eye For Aid of Blind PeopleJagu Naveen Kumar, Pabbuleti Venu2023Postery2023poster
Digital mixed-signal low power verification with Unified Power Format (UPF)Srilakshmi D R and Geeta Krishna Chaitanya Puli2021Postery2021poster
Digital mixed-signal low power verification with Unified power format (UPF)Srilakshmi D R and Geeta Krishna Chaitanya Puli2021Presentationy2021presentation
Digital Transformation of EDA Environments To Accelerate Semiconductor InnovationGuru Charan Prasad Jonnalagadda2022Presentationy2022presentation
Disaggregated methodology in Multi-die SoC– A Server SoC Case StudyRohit Kumar Sinha2023Presentationy2023presentation
Disaggregated methodology in Multi-die SoC– A Server SoC Case StudyRohit Kumar Sinha2023Papery2023paper
Disciplined Post Silicon Validation using ML IntelligenceAmaresh Chellapilla, Pandithurai Sangaiyah2022Presentationy2022presentation
Driving Analog Stimuli from a UVM TestbenchSatvika Challa, Amlan Chakrabarti 2015Postery2015poster
DV Methodology to Model Scalable/Reusable Component to Handle IO Delays/Noise/Crosstalk in Multilane DDR PHY IFTapas Ranjan Jena, Gowdra Bomanna Chethan, Sriram Kazhiyur Sounderrajan, Somasunder Kattepura Sreenath2019Papery2019paper
DVCon India 2021 ProceedingsAccellera Systems Initiative2021Videoy2021video
DVCon India 2022 ProceedingsAccellera Systems Initiative2022Videoy2022video
Dynamic Parameter Configuration of SystemC ModelsShruti Baindur, Simranjit Singh2015Presentationy2015presentation
Dynamic Power Automation UVM FrameworkRaghavendra J N, Gudidevuni Harathi2015Postery2015poster
Easier UVM – Making Verification Methodology More ProductiveJohn Aynsley, David Long2014Presentationy2014presentation
Effective Formal Deadlock Verification Methodologies for Interconnect designSachin Kumar, Rajesh C M2022Presentationy2022presentation
Efficacious Verification of Irreproachable and Steady Data Transfer Protocol for high-speed die-to-die communication on a 3DIC ChipVarun Kumar C, Jyoti Verma, Sekhar Dangudubiyyam2023Presentationy2023presentation
Efficacious Verification of Irreproachable and Steady Data Transfer Protocol for high-speed die-to-die communication on a 3DIC ChipVarun Kumar C, Jyoti Verma, Sekhar Dangudubiyyam2023Papery2023paper
Efficacious verification of Loopback and Equalization in PCIe By Using Novel approachJaydeep Suvariya, Pinal Patel2022Presentationy2022presentation
Efficient and Faster Handling of CDC/RDC ViolationsAshish Kumar Gupta2017Presentationy2017presentation
Efficient Formal strategies to verify the robustness of the designSakthivel Ramaiah2022Presentationy2022presentation
Efficient Formal strategies to verify the robustness of the designSakthivel Ramaiah2022Papery2022paper
Efficient methodology to uncover common root causes for RDC violations using intelligent data analyticsManish Bhati, Rajagopal Anantharaman, Inayat Ali2023Postery2023poster
Efficient methods for analog mixed signal verification Interface handling methods, trade-offs and guidelinesLakshmanan Balasubramanian, Shoeb Siddiqui, and Vijay Kumar Sankaran2014Papery2014paper
Efficient Regression Management with Smart Data Mining Technique Tejbal Prasad2022Presentationy2022presentation
Efficient Regression Management with Smart Data Mining TechniqueTejbal Prasad2022Papery2022paper
Efficient Verification of Arbitration Design with a Generic ModelKevin Kotadiya, Ishita Agrawal2023Presentationy2023presentation
Efficient Verification of Arbitration Design with a Generic ModelKevin Kotadiya, Ishita Agrawal2023Papery2023paper
Efficient Verification of Mixed-Signal SerDes IP Using UVMVarun R, Vinayak Hegde, Cadence Bangalore2017Presentationy2017presentation
Effortless, Methodical and Exhaustive Register Verification using what you already haveAishwarya Sridhar, Pallavi Atha, and David Crutchfield2021Postery2021poster
Effortless, Methodical and Exhaustive Register Verification using what you already have.Aishwarya Sridhar, Pallavi Atha, and David Crutchfield2021Presentationy2021presentation
Embedded UVMPuneet Goel2017Presentationy2017presentation
Embrace Errors! Architecting UVM Testbenches for Dynamic Error Injection & HandlingSushrut B Veerapur, Kilaru Vamsikrishna2022Postery2022poster
Embracing Datapath Verification with Jasper C2RTL AppVaibhav Mittal, Sourav Roy, Anshul Singhal2022Presentationy2022presentation
Embracing Formal Verification for Data Path Designs Using Golden SpecsAchutha Kirankumar V, Disha Puri, Bindumadhava S.S2017Presentationy2017presentation
Enabling Exhaustive Reset Verification Enablement: Client PCIE Design Reset Verification Case StudyRohit Kumar Sinha, Praveen Dornala2019Presentationy2019presentation
Enabling high quality design sign-off with Jasper structural and auto formal checksVishnu Haridas, Mansi Rastogi, Guruprasad Timmapur2022Papery2022paper
Enabling high quality design sign-off with structural and auto formal checksTimmapur, Guruprasad Haridas, Vishnu Rastogi, Mansi2022Presentationy2022presentation
Enabling Shift-Left through FV Methodologies on Intel® Graphics DesignsM. Achutha KiranKumar V, Aarti Gupta Bindumadhava S S, Aishwarya R2015Presentationy2015presentation
Engaging with IEEE through StandardsSri Chandra, Dennis Brophy2022Presentationy2022presentation
Enhanced LDPC Codec Verification in UVMShriharsha Koila, Ganesh Shetti, Prateek Jain, Anand Shirahatti2019Papery2019paper
Enhancing Productivity in Formal Testbench Generation for AHB based IPsShubham Goyal, Ishan Patil, Senthilnath Subbarayan, and Sandeep Kumar2021Postery2021poster
Enhancing The Effectiveness of a Constrained Random Test Suite Using Supervised Machine Learning AlgorithmPonnambalam Lakshmanan, Rajarathinam Susaimanickam2017Presentationy2017presentation
Ensuring Quality of Next Generation Automotive SoC: System’s ApproachPankaj Singh2015Presentationy2015presentation
Essential Adjuncts of Verification InfrastructureKunal Panchal, Harshit Mehta2017Presentationy2017presentation
Exhaustive Reset Verification Enablement: Client PCIE Design Reset Verification Case StudyRohit Kumar Sinha, Praveen Dornala2019Papery2019paper
Exhaustive validation of a cache memory controller using Formal Verification to meet performance and timing requirementsHimani Jawa, Nishant Raman, Sini Balakrishnan, Manas Karanjekar2022Presentationy2022presentation
Exhaustive validation of a cache memory controller using Formal Verification to meet performance and timing requirementsHimani Jawa, Nishant Raman, Sini Balakrishnan, Manas Karanjekar2022Papery2022paper
Expedite any Simulation with DMTCP and Save Decades of ComputationBalaji R, Sathish Kumar Sugumaran, Rohan Garg, Jiajun Cao2017Presentationy2017presentation
Expediting the Code Coverage Closure Using Static Formal Techniques – A Proven Approach at Block and SoC Levels 2015Presentationy2015presentation
Expediting Verification of Critical SoC Components Using Formal MethodsNuni Srikanth, Lakshman Easwaran, Maddipatla Shankar Naidu2014Presentationy2014presentation
Extending a Traditional VIP to Solve PHY Verification ChallengesAmit Tanwar, Manoj Manu2015Presentationy2015presentation
Fast Convergence Modular Advanced Smart-Hybrid Testbench (FCMAST) to automate and expedite SoC gate level simulations closureHarshal Kothari, Eldin Ben Jacob, Chandrachud Murali, Sriram Kazhiyur Sounderrajan, Somasunder Kattepura Sreenath2023Presentationy2023presentation
Fast Convergence Modular Advanced Smart-Hybrid Testbench (FCMAST) to automate and expedite SoC gate level simulations closureHarshal Kothari, Eldin Ben Jacob, Chandrachud Murali, Sriram Kazhiyur Sounderrajan, Somasunder Kattepura Sreenath2023Papery2023paper
Fast Track RISC-V System Validation Using Hardware Assisted Verification PlatformsPrasad Kadookar, Mohan Singh2023Presentationy2023presentation
Faster Elaborations with Cloud StorageShobhit Shukla, Amit Kumar2023Postery2023poster
Fault Campaign Framework for FuSa Verification of ISO 26262 Compliant Automotive ProductsPrashantkumar Ravindr, Mangesh Pande, and Vinay Rawat2021Presentationy2021presentation
Fault Campaign Framework for FuSa Verification of ISO 26262 Compliant Automotive ProductsPrashantkumar Ravindra, Mangesh Pande, and Vinay Rawat2021Postery2021poster
Fault Injection Made Easy Unified Formal Verification of Normal and Safety FunctionsSergio Marchese, Jörg Grosse, Ashish Darbari2017Presentationy2017presentation
Fault Injection Strategy to Validate ASIL-D Requirements of BMS ComponentsPraneeth Uddagiri, Veera Satya Sai Gavirni, Prashantkumar Ravindra2022Presentationy2022presentation
Fault Injection Strategy to Validate ASIL-D Requirements of BMS ComponentsPraneeth Uddagiri, Veera Satya Sai Gavirni, Prashantkumar Ravindra2022Papery2022paper
Filtering noise in RDC analysis by clockoff specificationAnupam Saxena, Inayat Ali, SulabhKumar Khare, and Atul Sharma2021Postery2021poster
Filtering noise in RDC analysis by clockoff specificationAnupam Saxena, Inayat Ali, Sulabh Kumar Khare and Atul Sharma2021Presentationy2021presentation
Formal Assisted Fault Campaign for ISO26262 CertificationNitin Ahuja, Mayank Agarwal, Sandeep Jana2019Papery2019paper
Formal For Adjacencies Expanding the Scope of Formal VerificationM Achutha KiranKumar V, Bindumadhava Ss., Vichal Verma, Savitha Manojna2019Presentationy2019presentation
Formal for Adjacencies Expanding the Scope of Formal VerificationM Achutha KiranKumar V, Bindumadhava Ss., Vichal Verma, Savitha Manojna2019Papery2019paper
Formal Verification + CIA Triad: Winning Formula for Hardware SecurityVedprakash Mishra, Anshul Jain2023Presentationy2023presentation
Formal Verification + CIA Triad: Winning Formula for Hardware SecurityVedprakash Mishra, Anshul Jain2023Papery2023paper
Formal Verification Accelerating Coherent Bridge IP Development and Fast Forward DVPulicharla Ravindrareddy, Chayan Pathak, Venkatesh Chepuri, Nitin Neralkar, Sourabh Bhattacharjee, Piyush Upadhyay, Madhusudhan Koothapaakkam2023Postery2023poster
Formal verification of low-power RISC-V processorsAshish Darbari2019Papery2019paper
Formalize the Cache: Formal Verification Techniques to Verify Different Cache ConfigurationsSudhanshu Srivastava, Rupali Tewari, Aman Vyas, Sachin Kumawat2023Postery2023poster
FPGA Implementation Validation and DebugRohit Goel, Rakesh Jain, Aman Rana, Ankit Goel2015Presentationy2015presentation
Framework for Creating Performance Model of AI Algorithms for Early Architecture ExplorationAmit Dudeja, Amit Tara, Amit Garg, Tushar Jain2019Presentationy2019presentation
Framework for Creating Performance Model of AI Algorithms for Early Architecture ExplorationAmit Dudeja, Amit Tara, Amit Garg, Tushar Jain2019Papery2019paper
Framework For Exploring Interconnect Level Cache CoherencyParvinder Pal Singh2017Presentationy2017presentation
Framework for Holistic Assessment of Potential Performance Gains with Different Simulation Acceleration ModesParag Goel, Adiel Khan, Amit Sharma2015Presentationy2015presentation
From Device Trees to Virtual PrototypesSakshi Arora, Vikrant Kamboj, Preeti Sharma2019Papery2019paper
Functional Coverage GeneratorMunjal Mistry2017Presentationy2017presentation
Functional Safety Verification Methodology for ASIL-B Automotive DesignsOnkar Bhuskute2022Postery2022poster
Functional Verification of CSI2 Rx-PHY using AMS Co-simulationsRatheesh Mekkadan2014Presentationy2014presentation
Functional Verification of CSI-2 Rx-PHY using AMS Co-simulationsRatheesh Mekkadan2014Papery2014paper
Functional Verification of CSI-2 Rx-PHY using AMS Co-simulationsRatheesh Mekkadan2014Presentationy2014presentation
Functional-Coverage Sampling in UVM RAL Use of 2 Obscure MethodsMuneeb Ulla Shariff, Ravi Reddy2019Papery2019paper
Functional-Coverage Sampling in UVM RAL: Use of 2 Obscure MethodsMuneeb Ulla Shariff, Ravi Reddy2019Presentationy2019presentation
FV: A Robust Solution for Tackling Design Complexities – A Case Study on In-Band ECCYash Sachin Pawar, Aarti Gupta, Disha Puri 2023Presentationy2023presentation
FV: A Robust Solution for Tackling Design Complexities – A Case Study on In-Band ECCYash Sachin Pawar, Aarti Gupta, Disha Puri2023Papery2023paper
Gatelevel Simulations: Continuing Value in Functional SimulationAshok Chandran, Roy Vincent2014Papery2014paper
Gatelevel Simulations: Continuing Value in Functional SimulationsAshok Chandran, Roy Vincent2014Postery2014poster
Generic Solution for NoC design explorationTushar Garg2021Postery2021poster
Generic Solution for NoC design explorationTushar Garg and Ranjan Mahajan2021Presentationy2021presentation
Generic Solution for NoCdesign explorationTushar Garg and Ranjan Mahajan2021Presentationy2021presentation
Generic Solution for NoCdesign explorationTushar Garg and Ranjan Mahajan2021Postery2021poster
Generic Verification Infrastructure around Serial Flash ControllersHarsimran Singh, Snehlata Gutgutia, Chanpreet Singh2015Presentationy2015presentation
Get Ready for UVM-SystemCMartin Barnasconi, Anupam Bakshi2015Presentationy2015presentation
Global Broadcast with UVM Custom PhasingJeremy Ridgeway and Dolly Mehta2014Papery2014paper
Global Broadcast with UVM Custom PhasingJeremy Ridgeway, Dolly Mehta2014Presentationy2014presentation
Goal Driven Stimulus Solution Get Yourself Out of the Redundancy TrapRohit Bansal2019Presentationy2019presentation
Goal Driven Stimulus Solution Get Yourself Out of the Redundancy TrapRohit Bansal2019Papery2019paper
Hardware Implementation of Smallscale Parameterized Neural Network Inference EngineVishnu P Bharadwaj, Shruti Narake, Saurabh D Patil2019Papery2019paper
Hardware Security – Industry Trends, Attacks and SolutionsShashank Kulkarni2022Presentationy2022presentation
Hardware verification through software scheduling for USB using xHCIWasiq Zia, Navneet Jha, and Vipin Chauhan2021Postery2021poster
Hardware verification through software scheduling for USB using xHCIWasiq Zia, Navneet Jha, and Vipin Chauhan2021Presentationy2021presentation
Hardware verification through software scheduling for USB using xHCITheWasiq Zia, Navneet Jha, and Vipin Chauhan2021Postery2021poster
Hardware verification through software scheduling for USB using xHCITheWasiq Zia, Navneet Jha, and Vipin Chauhan2021Presentationy2021presentation
Hardware/Software Co-Verification Using Generic Software AdapterVijaya Bhaskar, Krishna Chaitanya, Kirtika Sharma2017Presentationy2017presentation
Harnessing SV-RNM Based Modelling and Simulation Methodology for Verifying a Complex PMIC designed for SSD ApplicationsVijay Kumar, Shrikant Pattar, Yaswanth Chebrolu, Vinayak Hegde2022Presentationy2022presentation
Harnessing SV-RNM Based Modelling and Simulation Methodology for Verifying a Complex PMIC designed for SSD ApplicationsVijay Kumar, Shrikant Pattar, Yaswanth Chebrolu, Vinayak Hegde2022Papery2022paper
Has The Performance of a Sub-System Been Beaten to DeathSubhash Joshi, Vaddineni Manohar, Sangaiyah Pandithurai2015Presentationy2015presentation
High Frequency Response Tracking System micro-architectureGopalakrishnan Sridhar, Vadlamuri Venkata Sateesh2019Presentationy2019presentation
High Frequency Response Tracking System Micro-architectureGopalakrishnan Sridhar, Vadlamuri Venkata Sateesh2019Papery2019paper
Highly Flexible and Robust System Level HW-SW Power Management Verification based on RISC-VSubramanian Ravichandran, Sekhar Dangudubiyyam2023Postery2023poster
HISIG- An Efficient Gate Level Simulation Flow for a Hard IP Inside a Soft IPIshwar Ganiger, Vishal Dalal, Johannes Grinschgl2023Presentationy2023presentation
HISIG- An Efficient Gate Level Simulation Flow for a Hard IP Inside a Soft IPIshwar Ganiger, Vishal Dalal, Johannes Grinschgl2023Papery2023paper
Holistic Verification of Bus Health Monitor in Automotive SoC using BHMVC and ParaHunterGaurav Kumar Yadav, Abhisek Hota, Prashantkumar Shukranath Sonavane, Garima Srivastava2023Presentationy2023presentation
Holistic Verification of Bus Health Monitor in Automotive SoC using BHMVC and ParaHunterGaurav Kumar Yadav, Abhisek Hota, Prashantkumar Shukranath Sonavane, Garima Srivastava2023Papery2023paper
How to make debug more efficient in day-to-day life using Verisium DebugKiran Kumar Indrakanti, Sai Asrith Tabdil2023Postery2023poster
How to Reuse Sequences with the UVM-ML Open Architecture libraryHannes Fröhlich and Kishore Sur2014Postery2014poster
Hybrid Emulation Use CasesSylvain Bayon de Noyer2015Postery2015poster
Hybrid Emulation: Accelerating Software Driven Verification and DebugIssac P Zacharia and Jitendra Aggarwal2021Postery2021poster
Hybrid Emulation: Accelerating Software Driven Verification and DebugIssac P Zacharia and Jitendra Aggarwal2021Presentationy2021presentation
Identifying and Overcoming Multi-Die System Verification ChallengesVarun Agrawal2023Presentationy2023presentation
Improving Debug Productivity using latest AI & ML TechniquesAmod Khandekar, Sundararajan Ananthakrishnan, Amit Verma2023Presentationy2023presentation
Improving Simulation Performance at SoC/Subsystem Level Using LITE Environment ApproachAvni Patel, Heena Mankad2019Papery2019paper
Increase Productivity with Reflection API in Design VerificationShivayogi V. Kerudi, Vijay Mukund Srivastav, Vani S, Brad Quinton2015Postery2015poster
Indago™ Debug Platform Overview 2015Presentationy2015presentation
Innovative methodologies for analyzing CDC and RDC violations in complex SoC using Automations, formal verification, and Hierarchical CDC modelMaitri Mishra, Dharmendra Kumar2022Presentationy2022presentation
Intelligent Coverage Driven, Modern Verification for VHDL Based Designs in Native VHDL with OSVVMVijay Mukund Srivastav, Anupam Maurya, Prabhat Kumar, Juhi2015Presentationy2015presentation
Introducing IEEE 1800.2 the Next Step for UVMSrivatsa Vasudevan 2017Presentationy2017presentation
Introducing UVM-SystemC For a Resilient And Structured ESL ValidationAkhila M2017Presentationy2017presentation
Introduction to Accellera TLM 2.0Aravinda Thimmapuram2015Presentationy2015presentation
IP Generators – A Better Reuse MethodologyAmanjyot Kaur2021Presentationy2021presentation
IP Generators -A Better Reuse MethodologyAmanjyot Kaur2021Postery2021poster
IP/SoC Design, Co-Verify, Co-Validate, Co-Everything in 45 minutes!Nikita Gulliya, Asif Ahmad, Devender Khari2022Presentationy2022presentation
Left shift catching of critical low power bugs with Formal VerificationManish Kumar, Madan Kumar, Madhusudhana Lebaka, Srobona Mitra2022Presentationy2022presentation
Left shift catching of critical low power bugs with Formal VerificationManish Kumar, Madan Kumar, Srobona Mitra, Madhusudhana Lebaka2022Postery2022poster
Left shift catching of critical low power bugs with Formal VerificationManish Kumar, Madan Kumar, Madhusudhana Lebaka, Srobona Mitra2022Papery2022paper
Left Shift of Perf Validation Using Hardware-Based AccelerationAbhiram.L.S, Anoop.H.C, Vijayakrishnan Rousseau, Vimpesh Kankariya2017Presentationy2017presentation
Leveraging ESL Approach to Formally Verify Algorithmic ImplementationsM, Achutha KiranKumar V, Bindumadhava S S, Aarti Gupta, Disha Puri2015Presentationy2015presentation
Leveraging IEEE 1800.2-2017 UVM for Improved RAL ModellingVikas Sharma, Manoj Manu, Ankit Garg2019Presentationy2019presentation
Leveraging IEEE 1800.2-2017 UVM for Improved RAL ModellingVikas Sharma, Manoj Manu, Ankit Garg2019Papery2019paper
Leveraging RAL and alternate automation (cocotb) techniques to improve Register Verification in UVMSougata Bhattacharjee2022Postery2022poster
Logic Equivalence Check without Low Power – you are at risk!!Aishwarya Nair, Krishna Patel2022Presentationy2022presentation
Low Power Emulation for Power Intensive DesignsHarpreet Kaur, Mohit Jain, Piyush Kumar Gupta, Jitendra Aggarwal2014Presentationy2014presentation
Low Power Extension In UVM Power ManagementPriyanka Gharat, Shikhadevi Katheriya, Avnita Pal2022Postery2022poster
Low Power Extension in UVM Power ManagementPriyanka Gharat, Avnita Pal, Shikhadevi Katheriya2022Papery2022paper
Low Power Techniques in EmulationPragati Mishra & Jitendra Aggarwal2019Presentationy2019presentation
Low Power Validation on Emulation Using Portable Stimulus StandardJoydeep Maitra, Deepinder Singh Mohoora, Vikash Kumar Singh2019Papery2019paper
Low Power Verification Challenges and Coverage Recipe to Sign-Off PA VerificationDeepmala Sachan, Raghavendra Prakash, Venugopal Jennarapu, Syed S Thameem2014Presentationy2014presentation
Low power Verification challenges and coverage recipe to sign-off Power aware VerificationDeepmala Sachan, Thameem Syed S, Raghavendra Prakash, Venugopal Jennarapu2014Papery2014paper
Making Formal Property Verification Mainstream: An Intel® Graphics ExperienceM Achutha KiranKumar V, Bindumadhava S S, Abhijith A Bharadwaj2017Presentationy2017presentation
Making the Most of the UVM Register Layer and SequencesDavid Long2017Presentationy2017presentation
Making Virtual Prototypes WorkKartik Jivani, Jigar Patel2015Presentationy2015presentation
Mastering Unexpected Situations SafelySacha Loitz2015Presentationy2015presentation
MDLL & Slave Delay Line Performance Analysis Using Novel Delay ModelingAbhijith Kashyap, Avinash S and Kalpesh Shah2014Papery2014paper
MDLL & Slave Delay Line Performance Analysis Using Novel Delay ModelingAbhijith Kashyap, Avinash Shambu, Kalpesh Shah2014Presentationy2014presentation
MeSSMArch – A Memory System Simulator for Hardware Multithreading ArchitecturesSushil Menon2015Presentationy2015presentation
MeSSMArch – A Memory System Simulator for Hardware Multithreading ArchitecturesSushil Menon2015Papery2015paper
Methodology for Abstract Power Intent Specification and GenerationPramod Warrier, Bhavesh Jeewani, Juergen Karmann, Michael Velten2017Presentationy2017presentation
Methodology for Hardware Software Co-verification of Video Systems on Pre and Post SiliconVinesh Peringat2015Presentationy2015presentation
Methodology for Hardware Software Co-verification of Video Systems on Pre and Post SiliconVinesh Peringat, Balajee Premraj, Venkatesh Merugu2015Postery2015poster
Methodology for system-level comparison of ARM vs RISC-V cores for latency and power consumptionTom Jose, Deepak Shankar2022Presentationy2022presentation
Methodology for Verification Regression Throughput Optimization using Machine LearningArun.K.R, Preetham Lakshmikanthan, and Ashwani Aggarwal2021Postery2021poster
Methodology for Verification Regression Throughput Optimization using Machine LearningArun K.R. Preetham Lakshmikanthan, Ashwani Aggarwal, and Sundararajan Ananthakrishnan2021Presentationy2021presentation
MIPI M-PHY Analog Modeling in Verilog-AMS (Wreal) and Verification Using SV/UVM-MS MethodologyMallikarjuna Reddy Y, Venkatramana Rao K, Somanatha Shetty A2015Presentationy2015presentation
Model Extraction for Designs Based on Switches for Formal VerificationAmar Patel, Naman Jain2014Papery2014paper
Model Extraction for Designs Based on Switches for Formal VerificationAmar Patel, Naman Jain2014Presentationy2014presentation
Netlist Enabled Emulation Platform for Accelerated Gate Level VerificationSamhith Pottem, Vasudeva Reddy, Rahul S S, Sarang Kalbande, Garima Srivastava, Hyundon Kim2023Presentationy2023presentation
Netlist Enabled Emulation Platform for Accelerated Gate Level VerificationSamhith Pottem, Vasudeva Reddy, Rahul S S, Sarang Kalbande, Garima Srivastava, Hyundon Kim2023Papery2023paper
Network Packet Header Generation Using Graph Based Techniques Combined with Software Testing StrategiesSridevi Navulur, Satheesh Parasumanna, Rama Chaganti 2015Presentationy2015presentation
No gain without RISC- A novel approach for accelerating and streamlining RISC-V Processor functional verification with register change dump methodologyDamandeep Saini, Chethan AS, Anil Deshpande, Raviteja Gopagiri, Somasundar, Niharika Sachdeva2023Presentationy2023presentation
No gain without RISC- A novel approach for accelerating and streamlining RISC-V Processor functional verification with register change dump methodologyDamandeep Saini, Chethan AS, Anil Deshpande, Raviteja Gopagiri, Somasundar, Niharika Sachdeva2023Papery2023paper
Novel Adaptive CPU Scoreboard Methodology for a Multi-language environmentPooja Madhusoodhanan, Saya Goud Langadi, Labeeb K2022Presentationy2022presentation
Novel Adaptive CPU Scoreboard Methodology for a Multi-language environmentPooja Madhusoodhanan, Saya Goud Langadi, Labeeb K2022Papery2022paper
Novel approach for SoC pipeline latency and connectivity verification using FormalDeepak Mohan, Senthilnath Subbarayan, Sandeep Kumar2022Presentationy2022presentation
Novel approach for SoC pipeline latency and connectivity verification using FormalDeepak Mohan, Senthilnath Subbarayan, Sandeep Kumar2022Papery2022paper
Novel Methodology for TLM Model Unit VerificationNavaneet Kumar, Archna Verma, Ashish Mathur2022Presentationy2022presentation
Novel Model First Approach to Shift-Left Soft-Logic RTL Development on Intel Agilex FPGA for Data Centre IPU DesignPravat K Nayak, Vikrant Kapila, Pushpa Naik, Niketkumar Sharma2023Postery2023poster
NRFs Indentification & Signoff with GLS ValidationRohit Kumar Sinha, Rakesh Misra, Nagesh Kulkarni2022Postery2022poster
Obscure Face of UVM RAL: To Tackle Verification of Error ScenariosSubhash Pai, Lavanya Polineni2017Papery2017paper
Obscure face of UVM RAL: To Tackle Verification of Error ScenariosSubhash Pai, Lavanya Polineni2017Presentationy2017presentation
OIL check of PCIe with Formal VerificationVedprakash Mishra, Carlston Lim, Zhi Feng Lee, Jian Zhong Wang, Anshul Jain and Achutha KiranKumar V M2022Presentationy2022presentation
Open Source Virtual Platforms for SW Prototyping on FPGA Based HWChen Qian, Praveen Wadikar, Mark Burton2019Papery2019paper
Our Experience of Glitches at Clock Trees, CDC Paths and Reset TreesJebin Mohandas2019Papery2019paper
Overcoming Challenges in Functional Verification of Automotive Traffic SchedulersHarshit Jaiswal, Hemlata Bist, Rohit Mishra, Ori Tal2022Presentationy2022presentation
Paged and Alternate View Registers in UVMKirti Srivastava, Harshit Kumar Baghel2017Presentationy2017presentation
Paradigm Shift in Power Aware Simulation Using Formal TechniquesSachin Bansal, Girish Marudwar, Sandeep Jana, Kamalesh Ghosh, Yogananda Mesa2023Presentationy2023presentation
Paradigm Shift in Power Aware Simulation Using Formal TechniquesSachin Bansal, Girish Marudwar, Sandeep Jana, Kamalesh Ghosh, Yogananda Mesa2023Papery2023paper
Parameterized and Re-usable Jitter Model for Serial and Parallel InterfacesAmlan Chakrabarti, Malathi Chikkanna2014Presentationy2014presentation
Part 9 An Efficient Methodology for Development of Cryptographic EnginesSandesh Kanchodu, Tarun Rajendra Mittal, Sachin Kashyap, Subramanian Parameswaran2022Presentationy2022presentation
Performance Analysis and Acceleration of High Bandwidth Memory SystemRohit Devidas Chavan, Jyoti Verma, Sekhar Dangudubiyyam2023Presentationy2023presentation
Performance Analysis and Acceleration of High Bandwidth Memory SystemRohit Devidas Chavan, Jyoti Verma, Sekhar Dangudubiyyam2023Papery2023paper
Performance Modelling for the Control BackboneRaghav Tenneti, Padam Krishnani, Praveen Wadikar2017Presentationy2017presentation
Performance Validation Strategy for collaborative SoC developments where two worlds meets in GDS onlyChirag Kedia and Rahul Gupta2021Postery2021poster
Performance Validation Strategy for collaborative SoC developments where two worlds meets in GDS onlyChirag Kedia, Mukesh Ameria, and Rahul Gupta2021Presentationy2021presentation
Performance Verification of a 6 Gbps HSlink Receiver Including Equalization and Clock Recovery Using Mixed Signal SimulationsAashish Ram Bhide, Abhishek Chowdhary, Alok Kaushik, and Vivek Uppal2014Postery2014poster
Perspec System Verifier Overview 2015Presentationy2015presentation
PHY IP Verification – Are Conventional Digital DV Techniques Sufficient?Somasunder Kattepura Sreenath2015Presentationy2015presentation
Please! Can Someone Make UVM Easier to Use?Raghu Ardeishar, Rich Edelman2014Papery2014paper
Please! Can Someone Make UVM Easy to Use?Rich Edelman, Raghu Ardeishar2014Presentationy2014presentation
Portable Stimulus Standard Update PSS in the Real WorldAccellera Portable Stimulus Working Group2022Presentationy2022presentation
Power Aware CDC Verification at RTL for Faster SoC Verification ClosureAnindya Chakraborty, Naman Jain, Saumitra Goel2014Papery2014paper
Power-Aware CDC Verification at RTL for Faster SoC Verification ClosureAnindya Chakraborty, Naman Jain, Saumitra Goel2014Presentationy2014presentation
Pre-Silicon Debug Automation using Transaction Tagging and Data-MiningKamalesh Vikramsimhan, SenthilKumar Narayanaswamy, Deepak Sadasivam, Kaustubh Godbole2014Papery2014paper
Pre-Silicon Debug Automation Using Transaction Tagging and Data-MiningKamalesh V, Senthilkumar N, Kaustubh G, Deepak S2014Postery2014poster
Pre-Silicon Host-based Unit Testing of Driver Software using SystemC ModelsAravinda Thimmapuram, Somarka Chakravarti, Tamal Saha, Rathina Thalaiappan2015Presentationy2015presentation
Profiling Virtual Prototypes: Simulation Performance Analysis & OptimizationSandeep Jain2019Presentationy2019presentation
Profiling Virtual Prototypes: Simulation Performance Analysis & OptimizationSandeep Jain2019Papery2019paper
PropGen: An Automated Flow to Generate SVA Properties for Formal and Simulation methodsAmith Shambhu, Vishal Dalal, Basavaraj Naik2023Presentationy2023presentation
PropGen: An Automated Flow to Generate SVA Properties for Formal and Simulation methodsAmith Shambhu, Vishal Dalal, Basavaraj Naik2023Papery2023paper
Prototyping Next-Gen Tegra SoCSivarama Prasad Valluri, Ramanan Sanjeevi Krishnan2015Presentationy2015presentation
Pseudo-LRU Not Efficient in Real World? Use Formal Verification to Bridge the GapParas Gupta, Sachin Kumawat, and Kevin Bhensdadiya2023Presentationy2023presentation
Pseudo-LRU Not Efficient in Real World? Use Formal Verification to Bridge the GapParas Gupta, Sachin Kumawat, and Kevin Bhensdadiya2023Papery2023paper
Python empowered GLS Bringup VehicleDebarati Banerjee, Nikhil Singla, Shantha B, Pandithurai Sangaiyah2023Presentationy2023presentation
Python empowered GLS Bringup VehicleDebarati Banerjee, Nikhil Singla, Shantha B, Pandithurai Sangaiyah2023Papery2023paper
Quiescent Formal Checks (QFC) for Detecting Deep Design Bugs – Sooner and FasterSomesh Mishra, Mayank, Kumar, Ketan Mishra, Anshul Jain, Bharath Varma Gottumukkala2023Presentationy2023presentation
Quiescent Formal Checks (QFC) for Detecting Deep Design Bugs – Sooner and FasterSomesh Mishra, Mayank, Kumar, Ketan Mishra, Anshul Jain, Bharath Varma Gottumukkala2023Papery2023paper
Raising the Bar: Achieving Formal Verification Sign-Off for Complex Algorithmic Designs, with a Dot Product Accumulate Case StudyDisha Puri, Madhurima Eranki, Shravya Jampana2023Presentationy2023presentation
Raising the Bar: Achieving Formal Verification Sign-Off for Complex Algorithmic Designs, with a Dot Product Accumulate Case StudyDisha Puri, Madhurima Eranki, Shravya Jampana2023Papery2023paper
Rapid Virtual Prototype Model development using UML and IP-XACT SystemC Code GenerationDeepak S Kurapati and Aravinda Thimmapuram2014Papery2014paper
Recipes for Better Simulation Acceleration PerformanceVijayakrishnan Rousseau, Gaurang Nagrecha2015Presentationy2015presentation
Reconfigurable Radio Design and VerificationVladimir Ivanov, Markus Mueck, Seungwon Choi2015Presentationy2015presentation
Reset Verification using formal toolArju Khatun, Shiva Nagendar Pokala2022Postery2022poster
Responding to TAT Improvement Challenge through Testbench Configurability and Re-useKartik Jain, Renuka Devi Nagarajan, M Akhila, Mukesh Bhartiya2014Papery2014paper
Responding to TAT Improvement Challenge Through Testbench Configurability and Re-useAkhila M, Kartik Jain, Renuka Devi, Mukesh Bhartiya2014Presentationy2014presentation
Retention based low power DV challenges in DDR SystemsSubhash Joshi, Sangaiyah Pandithurai, and Halavarthi Math Revana Siddesh2014Papery2014paper
Retry with Confidence: Use Formal Property Verification to Verify Link Layer Retry (LLR) Mechanism of Compute Express Link (CXL)Anshul Jain, Aman Vyas, Binal Sodavadia, Sava Krstic, Achutha KiranKumar V M2022Presentationy2022presentation
Reusable DPI flow across Verification, Validation & SWPrasad Haldule, Pushkar Naik2017Presentationy2017presentation
Reusable UVM_REG Backdoor AutomationBalasubramanian G., Allan Peeters, Bob Blais2014Papery2014paper
Reusable UVM_REG Backdoor AutomationBalasubramanian G., Allan Peeters, Bob Blais2014Presentationy2014presentation
Reusing Sequences in a Multi-Language environment using UVM-ML OAHannes Fröhlich, Kishore Sur2014Postery2014poster
Revolutionizing Proof Convergence for Algorithmic Designs: Combining Multiple Formal Verification ToolsSuraj Kamble, Rajib Lochan Jana, Disha Puri2023Presentationy2023presentation
Revolutionizing Proof Convergence for Algorithmic Designs: Combining Multiple Formal Verification ToolsSuraj Kamble, Rajib Lochan Jana, Disha Puri2023Papery2023paper
Robust Low Power Verification Strategy for a Complex 3DIC System with Split Power Management ArchitectureAtiq Jamadar, Ayush Agrawal, Subramanian R, Sekhar Dangudubiyyam2023Presentationy2023presentation
Robust Low Power Verification Strategy for a Complex 3DIC System with Split Power Management ArchitectureAtiq Jamadar, Ayush Agrawal, Subramanian R, Sekhar Dangudubiyyam2023Papery2023paper
RTL Quality for TLM ModelsPreeti Sharma 2014Papery2014paper
Runtime Fault-Injection Tool for Executable SystemC ModelsBogdan-Andrei Tabacaru, Moomen Chaari, Wolfgang Ecker, and Thomas Kruse2014Papery2014paper
Scalable Multi-Domain Multi-Variant Reset Management in Complex Verification IPsKaustubh Kumar, Munnangi Sirisha, Lokesh Kumar2019Papery2019paper
Scalable Multi-Domain, Multi-Variant Reset Management in Verification IPsKaustubh Kumar, Munnangi Sirisha, and Lokesh Kumar2019Presentationy2019presentation
Scalable Test bench Architecture and Methodology for Faster Codec and Computer Vision Scenario VerificationAzhar Ahammad, Shreekara Murthy2022Presentationy2022presentation
Scalable Test bench Architecture and Methodology for Faster Codec and Computer Vision Scenario VerificationAzhar Ahammad, Shreekara Murthy2022Papery2022paper
Securing design confidence for Low power and Functional features of Image Sensor SOC – Reuse of UVM simulation testbench on HW acceleration platformVivek Kumar, Manish Mallan, Karthik Majeti2023Postery2023poster
SERDES Rx CDR Verification Using Jitter, Spread-Spectrum Clocking (SSC) StimulusSomasunder Sreenath, Raghuram Kolipaka, Chirag Shah, and Parag Lonkar2014Papery2014paper
SERDES Rx CDR Verification Using Jitter, Spread-Spectrum Clocking (SSC) StimulusSomasunder Sreenath, Raghuram Kolipaka, Chirag Shah, Parag Lonkar2014Presentationy2014presentation
Shifting Left CXL Interop using Simulation TechniquesJohn Shinto K S, Suhas Pai2022Presentationy2022presentation
Simplifying Hierarchical Low Power Designs Using Power Models in Intel DesignRohit Kumar Sinha2019Presentationy2019presentation
Simplifying Hierarchical Low Power Designs Using Power Models in Intel DesignRohit Kumar Sinha2019Papery2019paper
Simulation Analog Fault Injection Flow for Mixed-Signal DesignsPablo Cholbi Alenda, Dylan OConnor Desmond, Raman K2019Presentationy2019presentation
Simulation Analog Fault Injection Flow for Mixed-Signal DesignsPablo Cholbi Alenda, Dylan OConnor Desmond, Raman K2019Papery2019paper
Simulation Based Pre-Silicon CharacterizationSaurabh Pandey, Venkatseema Das, Arif Mohammed, Nishant Gurunath2014Papery2014paper
Simulation Based Pre-Silicon CharacterizationSaurabh Pandey, Venkatseema Das, Arif Mohammed, Nishant Gurunath2014Presentationy2014presentation
Simulation Guided Formal Verification with “River Fishing” TechniquesBathri Narayanan Subramanian, Ping Yeung2019Papery2019paper
Small Scale Parameterized Inference EngineVishnu Bharadwaj, Shruti Narake, and Saurabh Patil2019Presentationy2019presentation
Smart Centralized Regression (SCR)Sriram Kazhiyur Sounderrajan, Somasunder Kattepura Sreenath, Siddhant Kumar Yadav, Ajay Pennam, Aman Jain2017Presentationy2017presentation
Smart Recipe for Quick Bug Hunting: Boosting functional sign-off with Low-Power AssertionsJeevan G R, Deepmala Sachan, Thameem Syed, Raghavendra Prakash2017Presentationy2017presentation
Sneak Preview of UVM-SystemC: The Missing Piece in ESL VerificationMartin Barnasconi, Karsten Einwich2014Presentationy2014presentation
SoC Verification Enablement Using HM ModelVineet Tanwar, Chirag Kedia, Rahul Gupta2019Papery2019paper
SoC verification of Security x Safety aspects for memory programmed into secure and non-secure regions in Automotive devicesRuchi Bora, Ramit Rastogi2022Postery2022poster
Software Driven Hardware Verification: A UVM/DPI ApproachMilan Purohit, Santanu Bhattacharyya, Puneet Goel, Amit Sharma2015Presentationy2015presentation
Solving Problems with hierarchical CDC analysis of large designs with encrypted blocksAbdul Moyeen, Arpita Agarwal, Aman Shaikh, Abhay Deshpande2022Presentationy2022presentation
Static Power Intent Verification of Power State Switching ExpressionsSrobona Mitra, Bhaskar Pal, Kaushik De, Soumen Ghosh, Rajarshi Mukherjee2015Postery2015poster
Static Sign-Off Best Practices Learnings and Experiences from Industry Use CasesVikas Sachdeva2023Presentationy2023presentation
Statistical Analysis of Clock Domain CrossingRajat Singla, Tanneru Sai Pavan, Naveen Dugar, Varun Sharma2023Presentationy2023presentation
Statistical Analysis of Clock Domain CrossingRajat Singla, Tanneru Sai Pavan, Naveen Dugar, Varun Sharma2023Papery2023paper
Step-up your Register Access VerificationNisha Kadhirvelu, Sundar Krishnakumar, and Wesley Park2019Presentationy2019presentation
Step-up your Register Access VerificationNisha Kadhirvelu, Sundar Krishnakumar, and Rimpy Chugh2019Papery2019paper
Stimulus Generation for Functional Verification of Memory SystemsVaibhav Anant Ashtikar2015Presentationy2015presentation
Stimulus Generation for Functional Verification of Memory Systems in Advanced MicroprocessorsBhanuPratap Singh Chouhan, Vaibhav Anant Ashtikar, Basavaraj Talawar, Vani M., Krishnakumar Ranganathan2015Papery2015paper
SwiftCov: Automated Coverage Closure ToolNisha Mallya, Kunal Panchal, Pushkar Naik2017Presentationy2017presentation
SystemUVM™ Driving Portable Stimulus Ease-Of-UseNambi Ju2022Presentationy2022presentation
SystemVerilog for DesignSaminathan Chockalingam, Deepa Anantharaman2014Presentationy2014presentation
Tabled Data Into Query Able Data, ‘Key’ Concepts Demonstrated Using DDR5Rahil Jha, Joseph Bauer2023Presentationy2023presentation
Tabled Data Into Query Able Data, ‘Key’ Concepts Demonstrated Using DDR5Rahil Jha, Joseph Bauer2023Papery2023paper
Tackling the verification complexities of a processor subsystem through Portable stimulusVivek Gopalkrishna, Ponnambalam Lakshmanan, Nitish Swamy2023Presentationy2023presentation
Tackling the verification complexities of a processor subsystem through Portable stimulusVivek Gopalkrishna, Ponnambalam Lakshmanan, Nitish Swamy2023Papery2023paper
Techniques to identify reset metastability issues due to soft resetsReetika, Sulabh Kumar Khare2023Presentationy2023presentation
Techniques to identify reset metastability issues due to soft resetsReetika, Sulabh Kumar Khare2023Papery2023paper
The Art of Writing Predictors Efficiently Using UVMDolly Mehta, Jeremy Ridgeway2015Presentationy2015presentation
The Formal Way – Fast and Accurate Hashing Algorithm VerificationSini Balakrishnan, Sireesha Tulluri, Bindumadhava SS, Disha Puri2022Presentationy2022presentation
The Industry eFPGA soft IP fully customizable, for hardware reconfiguration in the fieldMrs Imen Baili2022Presentationy2022presentation
Thinking Ahead – Advanced Verification and Debug Techniques for the Imminent IoT WaveVamsi Krishna Doppalapudi2016Presentationy2016presentation
Thinking Beyond the Box Adopt the Reusable UVM Thread Management and Customized UVM Reset Package to Attack Thread Aware Verification ChallengesRoman Wang, Uwe Simm, Malathi Chikkanna2015Postery2015poster
Thinking In TransactionsVisualizing and ValidatingRich Edelman, Mustufa Kanchwala2017Presentationy2017presentation
Towards Early Validation of Firmware Using UVM Simulation FrameworkAmaresh Chellapilla, Pandithurai Sangaiyah2019Presentationy2019presentation
Towards Early Validation of Firmware Using UVM Simulation FrameworkAmaresh Chellapilla, Pandithurai Sangaiyah2019Papery2019paper
Transactional Memory Subsystem Verification for an ARMv8 Server Class CPURamdas M., Parveez Ahamed, Brijesh Reddy, Jayanto Minocha2015Presentationy2015presentation
Transactional Memory Subsystem Verification for an ARMv8 Server Class CPURamdas Mozhikunnath, Parveez Ahamed, Brijesh Reddy, Jayanto Minocha2015Papery2015paper
Trials and Tribulations of Migrating a Native UVM Testbench from Simulation to EmulationVikas Billa, Sundar Haran2017Presentationy2017presentation
UCIe based Design VerificationAnunay Bajaj, Sundararajan Ananthakrishnan2023Presentationy2023presentation
Uncover: Functional Coverage Made EasyAkash S, Rahul Jain, Gaurav Agarwal2019Presentationy2019presentation
Uncover: Functional Coverage Made EasyAkash S, Rahul Jain, Gaurav Agarwal, Nvidia Graphics2019Papery2019paper
Unified Functional and Performance FrameworkA Case Study of Cache: Using SystemC TLM Non Blocking InterfacesChaitra K V2017Presentationy2017presentation
Unified Test Writing Framework for Pre and Post Silicon VerificationRahulkumar Patel, Pablo Cholbi, Sivasubrahmanya Evani, Raman K2019Presentationy2019presentation
Unified Test Writing Framework for Pre and Post Silicon VerificationRahulkumar Patel, Pablo Cholbi, Sivasubrahmanya Evani, Raman K2019Papery2019paper
Unleashing the Power of UPF 3.0: An Innovative Approach for Faster and Robust Low-power CoverageAwashesh Kumar, Madhur Bhargava2017Presentationy2017presentation
Use of Message Bus Interface to Verify Lane Margining in PCIeAnkita Vashisht, Narasimha Babu G V L2019Papery2019paper
Using a Generic Plug and Play Performance Monitor for SoC VerificationAmbar Sarkar, Kaushal Modi, Janak Patel, Bhavin Patel, Ajay Tiwari2015Presentationy2015presentation
Using a Generic Plug and Play Performance Monitor for SoC VerificationAjay Tiwari, Bhavin Patel, Janak Patel, Kaushal Modi2015Papery2015paper
Using IP-XACT IEEE1685-2014Prashant Karandikar 2015Presentationy2015presentation
Using Simulation Acceleration to Achieve 100X Performance Improvement with UVM Based TestbenchesNarla Venkateswara Rao, K Ranjith Kumar, Vikas Verma, Gautam Kumar2014Papery2014paper
Using Simulation Acceleration to Achieve 100X Performance Improvement with UVM Based TestbenchesNarla Venkateswara Rao, Ranjith Kumar K, Vikas Verma, Gautam Kumar2014Presentationy2014presentation
Using Software Design Patterns in Testbench Development for a Multi-layer ProtocolPavan Yeluri, Ranjith Nair2019Papery2019paper
Using UVM-e Testbenches Utilizing Metric-Driven Verification and Advanced Debug CapabilitiesHimanshu Rawal, Vijay Kumar Birange, Daniel Bayer2022Postery2022poster
Utilization of Emulation for accelerating the Functional Verification ClosureVarun Kumar C, Sekhar Dangudubiyyam, Madhukar Ramegowda2022Postery2022poster
UVM & Emulation Creating SystemVerilog & UVM Testbenches for Simulation & Emulation Platform Portability to Boost Block-to-System Verification ProductivityHans van der Schoot2015Presentationy2015presentation
UVM based configurable FSM model for System level verification of DDR5 DIMM High end Server ChipsetVinoth Kumar Subramani and Gagandeep Singh2021Presentationy2021presentation
UVM based configurable FSM model for System level verification of DDR5 DIMM High end Server ChipsetVinoth Kumar Subramani and Gagandeep Singh2021Postery2021poster
UVM Based Generic Interrupt Handler (UGIH)Nikhil Singla, Debarati Banerjee2022Presentationy2022presentation
UVM for RTL DesignersSrinivasan Venkataramanan, Krishna Thottempudi, Praneshsairam A, Mani S2017Presentationy2017presentation
UVM Sequence Layering for Register SequencesMuneeb Ulla Shariff, Sangeetha Sekar, Ravi Reddy2023Presentationy2023presentation
UVM Sequence Layering for Register SequencesMuneeb Ulla Shariff, Sangeetha Sekar, Ravi Reddy2023Papery2023paper
UVM Usage for Selective Dynamic Re-configuration of Complex DesignsKunal Panchal, Pushkar Naik2014Papery2014paper
UVM Usage for Selective Dynamic Re-configuration of Complex DesignsKunal Panchal, Pushkar Naik2014Presentationy2014presentation
UVM-RAL: Registers on Demand Elimination of the UnnecessarySailaja Akkem2015Presentationy2015presentation
UVM, VMM and Native SV: Enabling Full Random Verification at System LevelAshok Chandran2014Papery2014paper
UVM, VMM and Native SV: Enabling Full Random Verification at System LevelAshok Chandran2014Presentationy2014presentation
Verification Methodology for Functional Safety Critical Work LoadsG Prashanth Reddy and Debajyoti Mukherjee2021Postery2021poster
Verification Methodology for Functional Safety Critical Work LoadsG Prashanth Reddy and Debajyoti Mukherjee2021Presentationy2021presentation
Verification Reuse Strategy for RTL Quality SoC Functional Virtual PrototypesRajesh Kumar Jain, Gaurav Sharma, Marcel Achim, Ashish Mathur, Prateek Sikka2022Presentationy2022presentation
Verification Strategies and Modelling for the Uninvited Guest in the System: Clock JitterDeepak Nagaria, Vikas Makhija, Apoorva Mathur2019Papery2019paper
Verification Techniques for CPU Simulation ModelSandeep Jain, Gaurav Sharma2015Presentationy2015presentation
Verifying the I/O peripherals of OpenTitan SOC using the Portable Stimulus StandardMahesh R, Bidisha Das, Raj S Mitra, Loganath Ramachandran Ph.D., Viraphol Chaiyakul Ph.D.2022Presentationy2022presentation
Verifying the I/O peripherals of OpenTitan SOC using the Portable Stimulus StandardMahesh R, Bidisha Das, Raj S Mitra, Loganath Ramachandran Ph.D., Viraphol Chaiyakul Ph.D.2022Papery2022paper
VirtIO based GPU modelPratik Parvati2022Presentationy2022presentation
Virtual Platform for a Multi-Chip WLAN System Using a Generic IO Connectivity SchemeRajesh Jain, Sandeep Jain2017Presentationy2017presentation
Virtual Platform for Software Enablement and Hardware VerificationRajesh Jain, Sandeep Jain, Sumail Singh Brar, Sourav Roy2015Presentationy2015presentation
VirtualATE: SystemC support for Automatic Test EquipmentNitin Garg, Shabarish Sundar, Amaranath Reddy, Sacha Loitz2015Postery2015poster
Vlang A System Level Verification PerspectivePuneet Goel2015Papery2015paper
Vlang A System Level Verification PerspectivePuneet Goel2015Presentationy2015presentation
VP Quality Improvement MethodologyMeghana Moorthy, Melwyn Scudder, Kartik Shah2015Postery2015poster
Walking the Graph: A Holistic Approach to Graph-based Verification for Logic with Sparse State SpaceSandeep Korrapati, Holger Horbach, Klaus Keuerleber, Alexander Jung2015Presentationy2015presentation
What-If analysis of Safety Mechanism’s impacts on ETHMAC design under Functional Safety flowUdaykrishna J, Sujatha Hiremath, Kapil Kumar, Sachin Pathak, Gaurav Goel2022Papery2022paper
When Last Minute FV Strikes Gold: A Case Study on Finding Starvations & Deadlocks in a Project Nearing Tape-inAbhishek Potdar, Sachin Kumawat, Sudhanshu Srivastava, Anshul Jain2023Presentationy2023presentation
When Last Minute FV Strikes Gold: A Case Study on Finding Starvations & Deadlocks in a Project Nearing Tape-inAbhishek Potdar, Sachin Kumawat, Sudhanshu Srivastava, Anshul Jain2023Papery2023paper
Wrong clamps can kill your chip!!….find them earlyAkhil Arora, Rajiv Kumar, Sonik Sachdeva2023Presentationy2023presentation
Wrong clamps can kill your chip!!….find them earlyAkhil Arora, Rajiv Kumar, Sonik Sachdeva2023Papery2023paper
XploR, a Platform to Accelerate Silicon Transformation2023Presentationy2023presentation