“What-If” analysis of Safety Mechanism’s impacts on ETHMAC design under Functional Safety flow | Udaykrishna J, Sujatha Hiremath, Kapil Kumar, Sachin Pathak, Gaurav Goel | 2022 | Presentation | | y2022 | presentation |
A 360 Degree View of UVM Events | Vikas Billa, Nagesh Kokonda | 2017 | Presentation | | y2017 | presentation |
A Faster and Efficient Timing Constraint Verification Methodology for GFX SOCs | Vineeth B, Deepmala Sachan | 2023 | Presentation | | y2023 | presentation |
A Faster and Efficient Timing Constraint Verification Methodology for GFX SOCs | Vineeth B, Deepmala Sachan | 2023 | Paper | | y2023 | paper |
A Framework for Verification of Program Control Unit of VLIW processors | Santhosh Billava, Sharangdhar M Honwadkar | 2014 | Presentation | | y2014 | presentation |
A Framework for Verification of Program Control Unit of VLIW Processors | Santhosh Billava, Sharangdhar M Honwadkar | 2014 | Paper | | y2014 | paper |
A Generic Configurable Error Injection Agent for All On-Chip Memories | Niharika Sachdeva, Arjun Suresh Kumar, Raviteja Gopagiri, Anil Deshpande, Somasunder Kattepura Sreenath, Damandeep Saini | 2022 | Presentation | | y2022 | presentation |
A Generic Configurable Error Injection Agent for On-Chip Memories | Niharika Sachdeva, Arjun Suresh Kumar, Raviteja Gopagiri, Anil Deshpande, Somasunder Kattepura Sreenath | 2022 | Paper | | y2022 | paper |
A Generic Verification Methodology for Chip to Chip Interrupt Handling in a Multi-Chip SoC (3DIC) | Vignesh Adiththan, Padma Vutukuru, Lalithraj Mailappa, Sekhar Dangudubiyyam | 2023 | Presentation | | y2023 | presentation |
A Generic Verification Methodology for Chip to Chip Interrupt Handling in a Multi-Chip SoC (3DIC) | Vignesh Adiththan, Padma Vutukuru, Lalithraj Mailappa, Sekhar Dangudubiyyam | 2023 | Paper | | y2023 | paper |
A Holistic Overview on Preventive & Corrective Action To Handle Glitches | Rohit Kumar Sinha, Parimal Das | 2022 | Poster | | y2022 | poster |
A Method to Accelerate SoC Implementation Cycle by Automatically Generating CDC Constraints | Ashish Hari, Sulabh Kumar Khare | 2015 | Presentation | | y2015 | presentation |
A Methodology for Interrupt Analysis in Virtual Platforms | Puneet Dhar | 2015 | Presentation | | y2015 | presentation |
A Methodology for Using Traffic Generators with Real-Time Constraints | Avinash Mehta | 2015 | Presentation | | y2015 | presentation |
A Methodology to Reuse Unit Level Validation Infrastructure | Ashutosh Parkhi | 2017 | Presentation | | y2017 | presentation |
A New Epoch is Beginning: Are You Getting Ready for Stepping Into UVM-1.2? | Roman Wang,Uwe Simm | 2014 | Paper | | y2014 | paper |
A New Epoch is Beginning: Are You Getting Ready for Stepping Into UVM-1.2? | Roman Wang, Uwe Simm | 2014 | Poster | | y2014 | poster |
A Novel Approach to Hardware Controlled Power Aware Verification with Optimized Power Consumption Techniques at SOC | Eldin Ben Jacob, Harshal Kothari, Sriram Kazhiyur Soundarrajan, Somasunder Kattepura Sreenath | 2022 | Paper | | y2022 | paper |
A Novel Approach to Reduce Power Consumption By Bridging The Gap between Standalone Functional Scenario and Real Time Scenario at SOC | Eldin Ben Jacob, Harshal Kothari, Sriram Kazhiyur Soundarrajan, Somasunder Kattepura Sreenath | 2022 | Poster | | y2022 | poster |
A Pragmatic Approach Leveraging Portable Stimulus from Subsystem to SoC level and SoC Emulation | Karandeep Singh, Aditya Chopra, Joachim Geishauser, Nitin Verma | 2019 | Presentation | | y2019 | presentation |
A Pragmatic Approach Leveraging Portable Stimulus from Subsystem to SoC level and SoC Emulation | Karandeep Singh, Aditya Chopra, Joachim Geishauser, Nitin Verma | 2019 | Paper | | y2019 | paper |
A Real-World Clock Generator Class for UVM | Rhitam Datta, Ankit Somani | 2017 | Presentation | | y2017 | presentation |
A Recipe for swift Tape-out of Derivative SoCs: A Comprehensive Validation Approach using Formal-based Sequential Equivalence and Connectivity Checking | Priyanshu Jain, Saket Gaddagi, Sandeep Kumar and Ipshita Tripathi | 2022 | Presentation | | y2022 | presentation |
A Reconfigurable And Fully Automated SRAM Environment Generation Flow for Robust Verification And To Accelerate DV Closure | Vinay Swargam, Lakshmana Kumar Arumugasamy, Sriram Kazhiyur Sounderrajan | 2023 | Poster | | y2023 | poster |
A Reusability Combat in UVM Callbacks vs Factory | Deepak Kumar EV, Vikas Billa, Satish Dadi, Ranganath Kempanahally | 2015 | Poster | | y2015 | poster |
A scalable framework to validate interconnect-based firewalls to enhance SoC security coverage | Ashutosh Mishra, Suresh Vasu | 2022 | Presentation | | y2022 | presentation |
A scalable framework to validate interconnect-based firewalls to enhance SoC security coverage | Ashutosh Mishra, Suresh Vasu | 2022 | Paper | | y2022 | paper |
A Systematic Methodology for Verifying Clock Domain Crossing Reconvergence | Priya Viswanathan | 2017 | Presentation | | y2017 | presentation |
A Unified Framework for Multilanguage Verification IPs Integration | Surinder Sood, Selvakumar Krishnamoorthy, Guarav Jalan | 2015 | Presentation | | y2015 | presentation |
A UVM Based Methodology for Processor Verification | Abhineet Bhojak, Tejbal Prasad | 2015 | Presentation | | y2015 | presentation |
Absolute GLS Verification An Early Simulation of Design Timing Constraints | Ateet Mishra, Deepak Mahajan, Shiva Belwal | 2015 | Presentation | | y2015 | presentation |
Accelerating ML TB Integration for Reusability Using UVM ML OA | Saleem Khan, Prasanna Kumar | 2017 | Presentation | | y2017 | presentation |
Accelerating Semiconductor Time to ISO 26262 Compliance | Kirankumar Karanam | 2022 | Presentation | | y2022 | presentation |
Accelerating SoC Sensor Network Verification Sign-off through Dynamic and Formal Synergy | Vinod Usha, Shreeram Hegde, Prasad Reddy | 2023 | Poster | | y2023 | poster |
Accelerating SoC Sensor Network Verification Sign-off through Dynamic and Formal Synergy | Vinod Usha, Shreeram Hegde, Prasad Reddy | 2023 | Presentation | | y2023 | presentation |
Accelerating SOC Verification, Reducing Simulation Turnaround Time & Diskspace Optimization using Dynamic Test Reload (DTR) | Prashant Hota & Shekhar Jha | 2023 | Poster | | y2023 | poster |
Accelerating the SoC Integration Verification Cycle Time Leveraging the Legacy Design Confidence | Abhinav Parashar, Prasanth Kumar Narava | 2022 | Presentation | | y2022 | presentation |
Accelerating the SoC Integration Verification Cycle Time Leveraging the Legacy Design Confidence | Abhinav Parashar, Prasanth Kumar Narava | 2022 | Paper | | y2022 | paper |
Accellera Systems Initiative SystemC Standards Update | Bishnupriya Bhattacharya | 2015 | Presentation | | y2015 | presentation |
Accellera Update | Lu Dai | 2023 | Presentation | | y2023 | presentation |
Achieve Faster Debug Closure by Applying Big Data & Advanced RCA Technologies | Sundararajan Ananthakrishnan, Sundararajan PH | 2022 | Presentation | | y2022 | presentation |
Achieving Real Time Performance for Algorithms Using SOC TLM Model | Saurin Patel, Pushkar Sareen, Sharath Naidu, Baljinder S Sood | 2015 | Presentation | | y2015 | presentation |
Adaptive UVM AMOD Testbench for Configurable DSI IP | Krishnapal Singh, Pavan Yeluri, Ranjith Nair | 2019 | Paper | | y2019 | paper |
Adding Agility to Hardware Design-Verification using UVM & Assertions | Francois Cerisier, Ajeetha Kumari, Gurubasappa, Srujana Reddy | 2017 | Presentation | | y2017 | presentation |
Addressing the Challenges of ABV in Complex SOCs | Rithin A N, Arif M, Rupinjeet Singh, Jeevan | 2015 | Poster | | y2015 | poster |
Adopting UVM for FPGA Verification | Kamalesh Vikramasimhan, Shridevi Biradar | 2017 | Presentation | | y2017 | presentation |
Advanced RISC-V Verification Technique Learnings for SoC Validation | David Kelf, Nambi Ju | 2023 | Presentation | | y2023 | presentation |
Advanced RISC-V Verification Technique Learnings for SoC Validation | David Kelf, Nambi Ju | 2023 | Presentation | | y2023 | presentation |
Advanced specification driven methodology for quick and accurate RDC signoff | Sai Jagadeesh Ambati, Sulabh Kumar Khare, Atul Sharma | 2023 | Poster | | y2023 | poster |
Advanced UVM Coding Techniques | David Long | 2016 | Presentation | | y2016 | presentation |
Advancements in UVM Test Bench Architecture for Verifying High Speed MIPI MPHY 5.0 IP | Eldhose P.M, Sagar Jayakrishnan, Suraj Vijay Shetty, Kuntal Pandya, Parag S. Lonkar | 2022 | Presentation | | y2022 | presentation |
Advancements in UVM Test Bench Architecture for Verifying High Speed MIPI MPHY 5.0 IP | Eldhose P.M, Sagar Jayakrishnan, Suraj Vijay Shetty, Kuntal Pandya, Parag S. Lonkar | 2022 | Paper | | y2022 | paper |
AMS Verification at SoC Level: A Practical Approach for Using VAMS vs SPICE Views | Nitin Pant, Gautham Harinarayan, Manmohan Rana | 2015 | Presentation | | y2015 | presentation |
An Automated Systematic CDC Verification Methodology based on SDC Setup | Ashish Hari, Sulabh Kumar Khare | 2014 | Presentation | | y2014 | presentation |
An Efficient Verification Methodology to Achieve DV Sign-off with Emphasis on Quality and Quicker DV Cycle | Piyush Agnihotri, Nirmal Kumar, Arnab Ghosh, Parag S Lonkar | 2023 | Presentation | | y2023 | presentation |
An Efficient Verification Methodology to Achieve DV Sign-off with Emphasis on Quality and Quicker DV Cycle | Piyush Agnihotri, Nirmal Kumar, Arnab Ghosh, Parag S Lonkar | 2023 | Paper | | y2023 | paper |
An Industry Proven UVM Reuse Methodology for Coverage Driven Block Level Verification to SW Driven Chip Level Verification Across Simulation & Emulation | Pradeep Salla, Keshav Joshi | 2016 | Presentation | | y2016 | presentation |
An Introduction to the Accellera Portable Stimulus Standard | Srivatsa Vasudevan, Pradeep Salla, Sharon Rosenberg, Adnan Hamid, Karthick Gururaj, Srivatsa Vasudevan, Bishnupriya Bhattacharya | 2017 | Presentation | | y2017 | presentation |
An Overview of Ethernet 10Base-T1S in Automotive SoC and its Verification | Bipul Halder, Jagtar Singh, Sahana S, Pushpal Nautiyal, Gaurav Chugh | 2023 | Presentation | | y2023 | presentation |
ARC EM Core with Safety Package – ISO 26262 Certification | Vikas Bhandari | 2015 | Poster | | y2015 | poster |
Architecturally Scalable Testbench for Complex SoC | Senthilnath Subbarayan, Arulanandan Jacob, Sandeep Kumar | 2019 | Paper | | y2019 | paper |
ASIL B/D Fault Campaign Strategy for Computer Vision core using Soft Test Library (STL) | Shiva Pokala, Vasista A | 2023 | Presentation | | y2023 | presentation |
Assisting Fault Injection Simulations for Functional Safety Sign-off using Formal | Pulicharla Ravindrareddy | 2019 | Presentation | | y2019 | presentation |
Assisting Fault Injection Simulations for Functional Safety Sign-off using Formal | Pulicharla Ravindrareddy | 2019 | Paper | | y2019 | paper |
Automated code generation for Early AURIX TM VP | Pratheek Mahesh and Dineshkumar Selvaraj | 2021 | Poster | | y2021 | poster |
Automated code generation for Early AURIX TM VP | Pratheek Mahesh and Dineshkumar Selvaraj | 2021 | Presentation | | y2021 | presentation |
Automated correct-by-construct methodology for RTL design and analog mixed-signal test bench generation: Enables early design closure of mixed-signal SoC | Lakshmanan Balasubramanian, Murugesh Prashanth Subramaniam, Atul Ramakant Lele, and Ranjit Kumar Dash | 2014 | Paper | | y2014 | paper |
Automated Floating Trash Collecting Boat | Karamalaputti Rahul, Gandham Magaraju | 2023 | Poster | | y2023 | poster |
Automated Toggle Coverage Framework for AURIX TM TC4xx Virtual Prototype | S N Ranjan and Puttaiah Jagadish | 2021 | Presentation | | y2021 | presentation |
Automated Toggle Coverage Framework for AURIX TM TC4xx Virtual Prototype | S N Ranjan and Puttaiah Jagadish | 2021 | Poster | | y2021 | poster |
Automated Toggle Coverage Framework for AURIX TM TC4xx Virtual Prototype | S N Ranjan and Puttaiah Jagadish | 2021 | Presentation | | y2021 | presentation |
Automated Toggle Coverage Framework for AURIX TM TC4xx Virtual Prototype | S N Ranjan and Puttaiah Jagadish | 2021 | Poster | | y2021 | poster |
Automated Traffic Simulation Framework for SoC Performance Analysis | Diviya Jain, Tarun Kathuria | 2017 | Presentation | | y2017 | presentation |
Automated vManager regression using Jenkins | Sneha Gokarakonda | 2022 | Poster | | y2022 | poster |
Automated, Systematic CDC Verification Methodology Based on SDC Setup | Ashish Hari, Sulabh Kumar Khare | 2014 | Paper | | y2014 | paper |
Automatic Generation of Implementation Layer for Embedded System using PSS and SystemRDL | Sudhir Bisht, Nikita Gulliya | 2023 | Poster | | y2023 | poster |
Automatic Generation of Implementation Layer for Embedded System using PSS and SystemRDL | Sudhir Bisht | 2023 | Presentation | | y2023 | presentation |
Automatic Generation of Infineon Microcontroller Product Configurations | Prateek Chandra, Leily Zafari, Boyko Traykov | 2019 | Presentation | | y2019 | presentation |
Automatic Generation of Infineon Microcontroller Product Configurations | Prateek Chandra, Leily Zafari, Boyko Traykov | 2019 | Paper | | y2019 | paper |
Automatically Synthesizing Higher Level of Protocol Abstraction for Faster Debug and Deeper Insight Into Modern Digital Designs | Alasdair Ferro, Amar Patel, Chris Jones, Yogesh Badaya | 2019 | Paper | | y2019 | paper |
Automating information retrieval from EDA software reports using effective parsing algorithms | Manish Bhati | 2022 | Poster | | y2022 | poster |
Automation of Waiver and Design Collateral generation for scalable IPs | Gopalakrishnan Sridhar, Vadlamuri Venkata Sateeshm, Midhun Krishna | 2019 | Paper | | y2019 | paper |
Automation of Waiver and Design Collateral Generation on Scalable IPs | Gopalakrishnan Sridhar, Midhun Krishna, Vadlamuri, Venkata Sateesh | 2019 | Presentation | | y2019 | presentation |
Automotive RADAR Bitfields Verification to support Validation of Silicon bring-up | Amol Dhok, Paulraj M K | 2023 | Presentation | | y2023 | presentation |
Automotive RADAR Bitfields Verification to support Validation of Silicon bring-up | Amol Dhok, Paulraj M K | 2023 | Paper | | y2023 | paper |
Back to Basics: Doing Formal “The Right Way” | Joseph Hupcey III, Saumitra Goel | 2017 | Presentation | | y2017 | presentation |
Benefits of PSS coverage at SOC & its limitations | Sundararajan Haran and Saleem Khan | 2019 | Presentation | | y2019 | presentation |
Benefits of PSS Coverage at SOC and Its Limitations | Sundararajan Haran, Saleem Khan | 2019 | Paper | | y2019 | paper |
Break the SoC with Random UVM Instruction Driver | Bogdan Todea, Pravin Wilfred, Madhukar Mahadevappa, Diana Dranga | 2019 | Paper | | y2019 | paper |
Breaking Down Barriers: Seamless Protocol Conversion with UVM Component Layering | Santosh Mahale, Shantanu Lele | 2023 | Presentation | | y2023 | presentation |
Breaking Down Barriers: Seamless Protocol Conversion with UVM Component Layering | Santosh Mahale, Shantanu Lele | 2023 | Paper | | y2023 | paper |
Bring IP Verification Closer to SoC Scalable Methods to Bridge the Gap Between IP and SoC Verification | Gaurav Gupta, Tejbal Prasad, Rohit Goyal, Sachin Jain, Vipin Verma | 2014 | Paper | | y2014 | paper |
Bring IP Verification Closer to SoC Scalable Methods to Bridge the Gap Between IP and SoC Verification | Gaurav Gupta, Tejbal Prasad, Rohit Goyal, Sachin Jain, Vipin Verma | 2014 | Presentation | | y2014 | presentation |
Bringing DataPath Formal to Designers’ Footsteps | M, Achutha KiranKumar V, Disha Puri, Shriya Dharade | 2019 | Paper | | y2019 | paper |
Bringing Reset Domains and Power Domains together – Non resettable registers amplifying issues in Power-Aware RDC Verification due to UPF Instrumentation | Manish Bhati, Inayat Ali | 2022 | Presentation | | y2022 | presentation |
Building And Modelling Reset Aware Testbench For IP Functional Verification | Naishal Shah | 2019 | Paper | | y2019 | paper |
Building Configurable UVM Testbench for Configurable Design IP (Configurable TB) | Kilaru Vamsikrishna, Sushrut B Veerapur | 2022 | Presentation | | y2022 | presentation |
Building UVM Testbenches for High Quality Serial Design IPs Modelling real world scenarios | Kilaru Vamsikrishna, Sushrut B Veerapur | 2022 | Poster | | y2022 | poster |
Case-study: Generating A Workload Model of the Chrome Browser from Android Execution Traces For Early Analysis of Power and Performance Trade-offs | Vikrant Kapila, Tim Kogel, Holger Keding, Amit Tara Amit Dudeja, Nishant Gautam | 2017 | Presentation | | y2017 | presentation |
Challenges in Mixed Signal Verification | Amlan Chakrabarti, Sachin-Sudhakar Kulkarni | 2015 | Presentation | | y2015 | presentation |
Challenges of Formal Verification on Deep Learning Hardware Accelerator | Yellinidi Dasarathanaidu | 2019 | Presentation | | y2019 | presentation |
Challenges of Formal Verification on Deep Learning Hardware Accelerator | Yellinidi Dasarathanaidu | 2019 | Paper | | y2019 | paper |
Challenges with Power Aware Simulation and Verification Methodologies | Divyeshkumar Vora | 2015 | Presentation | | y2015 | presentation |
Challenges, Complexities and Advanced Verification Techniques in Stress Testing of Elastic Buffer in High Speed SERDES IPs | Kamesh Velmail, Suvadeep Bose, Ankit Garg, Parag S Lonkar, Somasunder K Sreenath | 2019 | Presentation | | y2019 | presentation |
Challenges, Complexities and Advanced Verification Techniques in Stress Testing of Elastic Buffer in High Speed SERDES IPs | Kamesh Velmail, Suvadeep Bose, Parag Lonkar, Ankit Garg | 2019 | Paper | | y2019 | paper |
Channel Modelling in Complex Serial IPs | Jayesh Ranjan Majhi, Saravana Balakrishnan, Navnit Kumar Kashyap | 2022 | Poster | | y2022 | poster |
Channel Modelling in Complex Serial IPs | Jayesh Ranjan Majhi, Saravana Balakrishnan, Navnit Kumar Kashyap | 2022 | Paper | | y2022 | paper |
Choice is Yours: Either Struggle to Tame ‘X’ in the Wilderness of Multimillion Gates and Nets, OR Take it for a Walk in the RTL Park | Nitin Jaiswal, Harsh Garg, Mayank Bindal | 2014 | Presentation | | y2014 | presentation |
Code-Test-Verify all for free – Assertions + Verilator | Hemamalini Sundaram, Kasthuri Srinivas, Supriya Ummadisetty | 2023 | Presentation | | y2023 | presentation |
Code-Test-Verify all for free – Assertions + Verilator | Hemamalini Sundaram, Kasthuri Srinivas, Supriya Ummadisetty | 2023 | Paper | | y2023 | paper |
Complementing Verification of Highly Configurable Design with Formal Techniques | Manik Tyagi, Deepak Jindal | 2015 | Poster | | y2015 | poster |
Compliance Driven Integrated Circuit Development Based on ISO26262 | Haridas Vilakathara and Manikandan Panchapakesan | 2014 | Paper | | y2014 | paper |
Compliance Driven Integrated Circuit Development Based on ISO26262 | Haridas Vilakathara, Manikantan panchapakesan | 2014 | Presentation | | y2014 | presentation |
Comprehensive Benchmark Results: Comparing Processing-in-Memory Architecture Effectiveness using UPMEM Hardware | Ambati Rajashekar, Pranjit Das, Avi kumar Shrivastava, Partha Acharya | 2023 | Poster | | y2023 | poster |
Compute Link Express – CXL – CXL Consortium | Narasimha Babu | 2022 | Presentation | | y2022 | presentation |
Configurable Testbench (TB) for Configurable Design IP | Kilaru Vamsikrishna, Sushrut B Veerapur | 2022 | Paper | | y2022 | paper |
Configuration in UVM:The Missing Manual | Mark Glasser | 2014 | Presentation | | y2014 | presentation |
Configuration in UVM: The Missing Manual | Mark Glasser | 2014 | Paper | | y2014 | paper |
Counterintuitive approaches to have better communication between UVM and Python for registers with Single Controlling Algorithm | Sougata Bhattacharjee | 2023 | Poster | | y2023 | poster |
Coverage Acceleration and Testcase Pruning using Smart Stimuli Generator in SOC Verification | Rahul Laxkar, Naveen Srivastava, Sekhar Dangudubiyyam | 2023 | Presentation | | y2023 | presentation |
Coverage Acceleration and Testcase Pruning using Smart Stimuli Generator in SOC Verification | Rahul Laxkar, Naveen Srivastava, Sekhar Dangudubiyyam | 2023 | Paper | | y2023 | paper |
Coverage Closure – Is it a “Game of Dice” or “Top 10 Tests” or “Automated Closure”? | Aditya Sharma, T. Nagasundaram, M. Nitin Kumar, Nikhita Raj J | 2015 | Presentation | | y2015 | presentation |
Covering All the bases: Coverage-driven Formal Verification Sign-off of Pipelined Error Detection Filter | Harbaksh Gupta, Anshul Jain | 2023 | Presentation | | y2023 | presentation |
Covering All the bases: Coverage-driven Formal Verification Sign-off of Pipelined Error Detection Filter | Harbaksh Gupta, Anshul Jain | 2023 | Paper | | y2023 | paper |
Cross-Domain Datapath Validation Using Formal Proof Accelerators | Aarti Gupta, S. S. Bindumadhava, M. Achutha KiranKumar V, Liu Jun B | 2014 | Presentation | | y2014 | presentation |
CXL verification using portable stimulus | Karthick Gururaj | 2023 | Presentation | | y2023 | presentation |
Data Flow Based Memory IP Creation Infrastructure | Abhilash V Nair, Praveen Buddireddy, Tor Jeremiassen, Rashmi Venkatesh, and Prajakta Bhutada | 2014 | Paper | | y2014 | paper |
DDR Controller IP Evaluation Studies using Trace Based Methodology | Abhilash Nair, Amit Nene, Ritesh Sojitra, Prashant Karandikar and Prajakta Bhutada | 2014 | Paper | | y2014 | paper |
Debug Time Reduction by Automatic Generation of Waiver List Using ML Techniques | Vardhana M, Akshay Jain, Kota Subba Rao Sajja | 2022 | Presentation | | y2022 | presentation |
Debugging Linux Kernel Failures on Virtual Platform | Sandeep Jain | 2017 | Presentation | | y2017 | presentation |
Design & Verify Virtual Platform with reusable TLM 2.0 | Ankush Kumar | 2015 | Presentation | | y2015 | presentation |
Design Methodology for Highly Cycle Accurate SystemC Models with Better Performance | Simranjit Singh, Prasanth Sasidharan, Sameer Deshpande, and Sandeep Puttappa | 2014 | Paper | | y2014 | paper |
Design space exploration of PIM (Processing in Memory) & PNC (Processing Near Memory) based on workload characterization | Amarnath, Judhajit | 2022 | Presentation | | y2022 | presentation |
Design verification of a cascaded mmWave FMCW Radar | Shweta Pujar, Vijay M, Sainath Karlapalem, Dharani Sharavanan, Kavya P S | 2023 | Poster | | y2023 | poster |
Designing A PSS Reuse Strategy | Matthew Ballance | 2019 | Paper | | y2019 | paper |
Digital Eye For Aid of Blind People | Jagu Naveen Kumar, Pabbuleti Venu | 2023 | Poster | | y2023 | poster |
Digital mixed-signal low power verification with Unified Power Format (UPF) | Srilakshmi D R and Geeta Krishna Chaitanya Puli | 2021 | Poster | | y2021 | poster |
Digital mixed-signal low power verification with Unified power format (UPF) | Srilakshmi D R and Geeta Krishna Chaitanya Puli | 2021 | Presentation | | y2021 | presentation |
Digital Transformation of EDA Environments To Accelerate Semiconductor Innovation | Guru Charan Prasad Jonnalagadda | 2022 | Presentation | | y2022 | presentation |
Disaggregated methodology in Multi-die SoC– A Server SoC Case Study | Rohit Kumar Sinha | 2023 | Presentation | | y2023 | presentation |
Disaggregated methodology in Multi-die SoC– A Server SoC Case Study | Rohit Kumar Sinha | 2023 | Paper | | y2023 | paper |
Disciplined Post Silicon Validation using ML Intelligence | Amaresh Chellapilla, Pandithurai Sangaiyah | 2022 | Presentation | | y2022 | presentation |
Driving Analog Stimuli from a UVM Testbench | Satvika Challa, Amlan Chakrabarti | 2015 | Poster | | y2015 | poster |
DV Methodology to Model Scalable/Reusable Component to Handle IO Delays/Noise/Crosstalk in Multilane DDR PHY IF | Tapas Ranjan Jena, Gowdra Bomanna Chethan, Sriram Kazhiyur Sounderrajan, Somasunder Kattepura Sreenath | 2019 | Paper | | y2019 | paper |
DVCon India 2021 Proceedings | Accellera Systems Initiative | 2021 | Video | | y2021 | video |
DVCon India 2022 Proceedings | Accellera Systems Initiative | 2022 | Video | | y2022 | video |
Dynamic Parameter Configuration of SystemC Models | Shruti Baindur, Simranjit Singh | 2015 | Presentation | | y2015 | presentation |
Dynamic Power Automation UVM Framework | Raghavendra J N, Gudidevuni Harathi | 2015 | Poster | | y2015 | poster |
Easier UVM – Making Verification Methodology More Productive | John Aynsley, David Long | 2014 | Presentation | | y2014 | presentation |
Effective Formal Deadlock Verification Methodologies for Interconnect design | Sachin Kumar, Rajesh C M | 2022 | Presentation | | y2022 | presentation |
Efficacious Verification of Irreproachable and Steady Data Transfer Protocol for high-speed die-to-die communication on a 3DIC Chip | Varun Kumar C, Jyoti Verma, Sekhar Dangudubiyyam | 2023 | Presentation | | y2023 | presentation |
Efficacious Verification of Irreproachable and Steady Data Transfer Protocol for high-speed die-to-die communication on a 3DIC Chip | Varun Kumar C, Jyoti Verma, Sekhar Dangudubiyyam | 2023 | Paper | | y2023 | paper |
Efficacious verification of Loopback and Equalization in PCIe By Using Novel approach | Jaydeep Suvariya, Pinal Patel | 2022 | Presentation | | y2022 | presentation |
Efficient and Faster Handling of CDC/RDC Violations | Ashish Kumar Gupta | 2017 | Presentation | | y2017 | presentation |
Efficient Formal strategies to verify the robustness of the design | Sakthivel Ramaiah | 2022 | Presentation | | y2022 | presentation |
Efficient Formal strategies to verify the robustness of the design | Sakthivel Ramaiah | 2022 | Paper | | y2022 | paper |
Efficient methodology to uncover common root causes for RDC violations using intelligent data analytics | Manish Bhati, Rajagopal Anantharaman, Inayat Ali | 2023 | Poster | | y2023 | poster |
Efficient methods for analog mixed signal verification Interface handling methods, trade-offs and guidelines | Lakshmanan Balasubramanian, Shoeb Siddiqui, and Vijay Kumar Sankaran | 2014 | Paper | | y2014 | paper |
Efficient Regression Management with Smart Data Mining Technique | Tejbal Prasad | 2022 | Presentation | | y2022 | presentation |
Efficient Regression Management with Smart Data Mining Technique | Tejbal Prasad | 2022 | Paper | | y2022 | paper |
Efficient Verification of Arbitration Design with a Generic Model | Kevin Kotadiya, Ishita Agrawal | 2023 | Presentation | | y2023 | presentation |
Efficient Verification of Arbitration Design with a Generic Model | Kevin Kotadiya, Ishita Agrawal | 2023 | Paper | | y2023 | paper |
Efficient Verification of Mixed-Signal SerDes IP Using UVM | Varun R, Vinayak Hegde, Cadence Bangalore | 2017 | Presentation | | y2017 | presentation |
Effortless, Methodical and Exhaustive Register Verification using what you already have | Aishwarya Sridhar, Pallavi Atha, and David Crutchfield | 2021 | Poster | | y2021 | poster |
Effortless, Methodical and Exhaustive Register Verification using what you already have. | Aishwarya Sridhar, Pallavi Atha, and David Crutchfield | 2021 | Presentation | | y2021 | presentation |
Embedded UVM | Puneet Goel | 2017 | Presentation | | y2017 | presentation |
Embrace Errors! Architecting UVM Testbenches for Dynamic Error Injection & Handling | Sushrut B Veerapur, Kilaru Vamsikrishna | 2022 | Poster | | y2022 | poster |
Embracing Datapath Verification with Jasper C2RTL App | Vaibhav Mittal, Sourav Roy, Anshul Singhal | 2022 | Presentation | | y2022 | presentation |
Embracing Formal Verification for Data Path Designs Using Golden Specs | Achutha Kirankumar V, Disha Puri, Bindumadhava S.S | 2017 | Presentation | | y2017 | presentation |
Enabling Exhaustive Reset Verification Enablement: Client PCIE Design Reset Verification Case Study | Rohit Kumar Sinha, Praveen Dornala | 2019 | Presentation | | y2019 | presentation |
Enabling high quality design sign-off with Jasper structural and auto formal checks | Vishnu Haridas, Mansi Rastogi, Guruprasad Timmapur | 2022 | Paper | | y2022 | paper |
Enabling high quality design sign-off with structural and auto formal checks | Timmapur, Guruprasad Haridas, Vishnu Rastogi, Mansi | 2022 | Presentation | | y2022 | presentation |
Enabling Shift-Left through FV Methodologies on Intel® Graphics Designs | M. Achutha KiranKumar V, Aarti Gupta Bindumadhava S S, Aishwarya R | 2015 | Presentation | | y2015 | presentation |
Engaging with IEEE through Standards | Sri Chandra, Dennis Brophy | 2022 | Presentation | | y2022 | presentation |
Enhanced LDPC Codec Verification in UVM | Shriharsha Koila, Ganesh Shetti, Prateek Jain, Anand Shirahatti | 2019 | Paper | | y2019 | paper |
Enhancing Productivity in Formal Testbench Generation for AHB based IPs | Shubham Goyal, Ishan Patil, Senthilnath Subbarayan, and Sandeep Kumar | 2021 | Poster | | y2021 | poster |
Enhancing The Effectiveness of a Constrained Random Test Suite Using Supervised Machine Learning Algorithm | Ponnambalam Lakshmanan, Rajarathinam Susaimanickam | 2017 | Presentation | | y2017 | presentation |
Ensuring Quality of Next Generation Automotive SoC: System’s Approach | Pankaj Singh | 2015 | Presentation | | y2015 | presentation |
Essential Adjuncts of Verification Infrastructure | Kunal Panchal, Harshit Mehta | 2017 | Presentation | | y2017 | presentation |
Exhaustive Reset Verification Enablement: Client PCIE Design Reset Verification Case Study | Rohit Kumar Sinha, Praveen Dornala | 2019 | Paper | | y2019 | paper |
Exhaustive validation of a cache memory controller using Formal Verification to meet performance and timing requirements | Himani Jawa, Nishant Raman, Sini Balakrishnan, Manas Karanjekar | 2022 | Presentation | | y2022 | presentation |
Exhaustive validation of a cache memory controller using Formal Verification to meet performance and timing requirements | Himani Jawa, Nishant Raman, Sini Balakrishnan, Manas Karanjekar | 2022 | Paper | | y2022 | paper |
Expedite any Simulation with DMTCP and Save Decades of Computation | Balaji R, Sathish Kumar Sugumaran, Rohan Garg, Jiajun Cao | 2017 | Presentation | | y2017 | presentation |
Expediting the Code Coverage Closure Using Static Formal Techniques – A Proven Approach at Block and SoC Levels | | 2015 | Presentation | | y2015 | presentation |
Expediting Verification of Critical SoC Components Using Formal Methods | Nuni Srikanth, Lakshman Easwaran, Maddipatla Shankar Naidu | 2014 | Presentation | | y2014 | presentation |
Extending a Traditional VIP to Solve PHY Verification Challenges | Amit Tanwar, Manoj Manu | 2015 | Presentation | | y2015 | presentation |
Fast Convergence Modular Advanced Smart-Hybrid Testbench (FCMAST) to automate and expedite SoC gate level simulations closure | Harshal Kothari, Eldin Ben Jacob, Chandrachud Murali, Sriram Kazhiyur Sounderrajan, Somasunder Kattepura Sreenath | 2023 | Presentation | | y2023 | presentation |
Fast Convergence Modular Advanced Smart-Hybrid Testbench (FCMAST) to automate and expedite SoC gate level simulations closure | Harshal Kothari, Eldin Ben Jacob, Chandrachud Murali, Sriram Kazhiyur Sounderrajan, Somasunder Kattepura Sreenath | 2023 | Paper | | y2023 | paper |
Fast Track RISC-V System Validation Using Hardware Assisted Verification Platforms | Prasad Kadookar, Mohan Singh | 2023 | Presentation | | y2023 | presentation |
Faster Elaborations with Cloud Storage | Shobhit Shukla, Amit Kumar | 2023 | Poster | | y2023 | poster |
Fault Campaign Framework for FuSa Verification of ISO 26262 Compliant Automotive Products | Prashantkumar Ravindr, Mangesh Pande, and Vinay Rawat | 2021 | Presentation | | y2021 | presentation |
Fault Campaign Framework for FuSa Verification of ISO 26262 Compliant Automotive Products | Prashantkumar Ravindra, Mangesh Pande, and Vinay Rawat | 2021 | Poster | | y2021 | poster |
Fault Injection Made Easy Unified Formal Verification of Normal and Safety Functions | Sergio Marchese, Jörg Grosse, Ashish Darbari | 2017 | Presentation | | y2017 | presentation |
Fault Injection Strategy to Validate ASIL-D Requirements of BMS Components | Praneeth Uddagiri, Veera Satya Sai Gavirni, Prashantkumar Ravindra | 2022 | Presentation | | y2022 | presentation |
Fault Injection Strategy to Validate ASIL-D Requirements of BMS Components | Praneeth Uddagiri, Veera Satya Sai Gavirni, Prashantkumar Ravindra | 2022 | Paper | | y2022 | paper |
Filtering noise in RDC analysis by clockoff specification | Anupam Saxena, Inayat Ali, SulabhKumar Khare, and Atul Sharma | 2021 | Poster | | y2021 | poster |
Filtering noise in RDC analysis by clockoff specification | Anupam Saxena, Inayat Ali, Sulabh Kumar Khare and Atul Sharma | 2021 | Presentation | | y2021 | presentation |
Formal Assisted Fault Campaign for ISO26262 Certification | Nitin Ahuja, Mayank Agarwal, Sandeep Jana | 2019 | Paper | | y2019 | paper |
Formal For Adjacencies Expanding the Scope of Formal Verification | M Achutha KiranKumar V, Bindumadhava Ss., Vichal Verma, Savitha Manojna | 2019 | Presentation | | y2019 | presentation |
Formal for Adjacencies Expanding the Scope of Formal Verification | M Achutha KiranKumar V, Bindumadhava Ss., Vichal Verma, Savitha Manojna | 2019 | Paper | | y2019 | paper |
Formal Verification + CIA Triad: Winning Formula for Hardware Security | Vedprakash Mishra, Anshul Jain | 2023 | Presentation | | y2023 | presentation |
Formal Verification + CIA Triad: Winning Formula for Hardware Security | Vedprakash Mishra, Anshul Jain | 2023 | Paper | | y2023 | paper |
Formal Verification Accelerating Coherent Bridge IP Development and Fast Forward DV | Pulicharla Ravindrareddy, Chayan Pathak, Venkatesh Chepuri, Nitin Neralkar, Sourabh Bhattacharjee, Piyush Upadhyay, Madhusudhan Koothapaakkam | 2023 | Poster | | y2023 | poster |
Formal verification of low-power RISC-V processors | Ashish Darbari | 2019 | Paper | | y2019 | paper |
Formalize the Cache: Formal Verification Techniques to Verify Different Cache Configurations | Sudhanshu Srivastava, Rupali Tewari, Aman Vyas, Sachin Kumawat | 2023 | Poster | | y2023 | poster |
FPGA Implementation Validation and Debug | Rohit Goel, Rakesh Jain, Aman Rana, Ankit Goel | 2015 | Presentation | | y2015 | presentation |
Framework for Creating Performance Model of AI Algorithms for Early Architecture Exploration | Amit Dudeja, Amit Tara, Amit Garg, Tushar Jain | 2019 | Presentation | | y2019 | presentation |
Framework for Creating Performance Model of AI Algorithms for Early Architecture Exploration | Amit Dudeja, Amit Tara, Amit Garg, Tushar Jain | 2019 | Paper | | y2019 | paper |
Framework For Exploring Interconnect Level Cache Coherency | Parvinder Pal Singh | 2017 | Presentation | | y2017 | presentation |
Framework for Holistic Assessment of Potential Performance Gains with Different Simulation Acceleration Modes | Parag Goel, Adiel Khan, Amit Sharma | 2015 | Presentation | | y2015 | presentation |
From Device Trees to Virtual Prototypes | Sakshi Arora, Vikrant Kamboj, Preeti Sharma | 2019 | Paper | | y2019 | paper |
Functional Coverage Generator | Munjal Mistry | 2017 | Presentation | | y2017 | presentation |
Functional Safety Verification Methodology for ASIL-B Automotive Designs | Onkar Bhuskute | 2022 | Poster | | y2022 | poster |
Functional Verification of CSI2 Rx-PHY using AMS Co-simulations | Ratheesh Mekkadan | 2014 | Presentation | | y2014 | presentation |
Functional Verification of CSI-2 Rx-PHY using AMS Co-simulations | Ratheesh Mekkadan | 2014 | Paper | | y2014 | paper |
Functional Verification of CSI-2 Rx-PHY using AMS Co-simulations | Ratheesh Mekkadan | 2014 | Presentation | | y2014 | presentation |
Functional-Coverage Sampling in UVM RAL Use of 2 Obscure Methods | Muneeb Ulla Shariff, Ravi Reddy | 2019 | Paper | | y2019 | paper |
Functional-Coverage Sampling in UVM RAL: Use of 2 Obscure Methods | Muneeb Ulla Shariff, Ravi Reddy | 2019 | Presentation | | y2019 | presentation |
FV: A Robust Solution for Tackling Design Complexities – A Case Study on In-Band ECC | Yash Sachin Pawar, Aarti Gupta, Disha Puri | 2023 | Presentation | | y2023 | presentation |
FV: A Robust Solution for Tackling Design Complexities – A Case Study on In-Band ECC | Yash Sachin Pawar, Aarti Gupta, Disha Puri | 2023 | Paper | | y2023 | paper |
Gatelevel Simulations: Continuing Value in Functional Simulation | Ashok Chandran, Roy Vincent | 2014 | Paper | | y2014 | paper |
Gatelevel Simulations: Continuing Value in Functional Simulations | Ashok Chandran, Roy Vincent | 2014 | Poster | | y2014 | poster |
Generic Solution for NoC design exploration | Tushar Garg | 2021 | Poster | | y2021 | poster |
Generic Solution for NoC design exploration | Tushar Garg and Ranjan Mahajan | 2021 | Presentation | | y2021 | presentation |
Generic Solution for NoCdesign exploration | Tushar Garg and Ranjan Mahajan | 2021 | Presentation | | y2021 | presentation |
Generic Solution for NoCdesign exploration | Tushar Garg and Ranjan Mahajan | 2021 | Poster | | y2021 | poster |
Generic Verification Infrastructure around Serial Flash Controllers | Harsimran Singh, Snehlata Gutgutia, Chanpreet Singh | 2015 | Presentation | | y2015 | presentation |
Get Ready for UVM-SystemC | Martin Barnasconi, Anupam Bakshi | 2015 | Presentation | | y2015 | presentation |
Global Broadcast with UVM Custom Phasing | Jeremy Ridgeway and Dolly Mehta | 2014 | Paper | | y2014 | paper |
Global Broadcast with UVM Custom Phasing | Jeremy Ridgeway, Dolly Mehta | 2014 | Presentation | | y2014 | presentation |
Goal Driven Stimulus Solution Get Yourself Out of the Redundancy Trap | Rohit Bansal | 2019 | Presentation | | y2019 | presentation |
Goal Driven Stimulus Solution Get Yourself Out of the Redundancy Trap | Rohit Bansal | 2019 | Paper | | y2019 | paper |
Hardware Implementation of Smallscale Parameterized Neural Network Inference Engine | Vishnu P Bharadwaj, Shruti Narake, Saurabh D Patil | 2019 | Paper | | y2019 | paper |
Hardware Security – Industry Trends, Attacks and Solutions | Shashank Kulkarni | 2022 | Presentation | | y2022 | presentation |
Hardware verification through software scheduling for USB using xHCI | Wasiq Zia, Navneet Jha, and Vipin Chauhan | 2021 | Poster | | y2021 | poster |
Hardware verification through software scheduling for USB using xHCI | Wasiq Zia, Navneet Jha, and Vipin Chauhan | 2021 | Presentation | | y2021 | presentation |
Hardware verification through software scheduling for USB using xHCIThe | Wasiq Zia, Navneet Jha, and Vipin Chauhan | 2021 | Poster | | y2021 | poster |
Hardware verification through software scheduling for USB using xHCIThe | Wasiq Zia, Navneet Jha, and Vipin Chauhan | 2021 | Presentation | | y2021 | presentation |
Hardware/Software Co-Verification Using Generic Software Adapter | Vijaya Bhaskar, Krishna Chaitanya, Kirtika Sharma | 2017 | Presentation | | y2017 | presentation |
Harnessing SV-RNM Based Modelling and Simulation Methodology for Verifying a Complex PMIC designed for SSD Applications | Vijay Kumar, Shrikant Pattar, Yaswanth Chebrolu, Vinayak Hegde | 2022 | Presentation | | y2022 | presentation |
Harnessing SV-RNM Based Modelling and Simulation Methodology for Verifying a Complex PMIC designed for SSD Applications | Vijay Kumar, Shrikant Pattar, Yaswanth Chebrolu, Vinayak Hegde | 2022 | Paper | | y2022 | paper |
Has The Performance of a Sub-System Been Beaten to Death | Subhash Joshi, Vaddineni Manohar, Sangaiyah Pandithurai | 2015 | Presentation | | y2015 | presentation |
High Frequency Response Tracking System micro-architecture | Gopalakrishnan Sridhar, Vadlamuri Venkata Sateesh | 2019 | Presentation | | y2019 | presentation |
High Frequency Response Tracking System Micro-architecture | Gopalakrishnan Sridhar, Vadlamuri Venkata Sateesh | 2019 | Paper | | y2019 | paper |
Highly Flexible and Robust System Level HW-SW Power Management Verification based on RISC-V | Subramanian Ravichandran, Sekhar Dangudubiyyam | 2023 | Poster | | y2023 | poster |
HISIG- An Efficient Gate Level Simulation Flow for a Hard IP Inside a Soft IP | Ishwar Ganiger, Vishal Dalal, Johannes Grinschgl | 2023 | Presentation | | y2023 | presentation |
HISIG- An Efficient Gate Level Simulation Flow for a Hard IP Inside a Soft IP | Ishwar Ganiger, Vishal Dalal, Johannes Grinschgl | 2023 | Paper | | y2023 | paper |
Holistic Verification of Bus Health Monitor in Automotive SoC using BHMVC and ParaHunter | Gaurav Kumar Yadav, Abhisek Hota, Prashantkumar Shukranath Sonavane, Garima Srivastava | 2023 | Presentation | | y2023 | presentation |
Holistic Verification of Bus Health Monitor in Automotive SoC using BHMVC and ParaHunter | Gaurav Kumar Yadav, Abhisek Hota, Prashantkumar Shukranath Sonavane, Garima Srivastava | 2023 | Paper | | y2023 | paper |
How to make debug more efficient in day-to-day life using Verisium Debug | Kiran Kumar Indrakanti, Sai Asrith Tabdil | 2023 | Poster | | y2023 | poster |
How to Reuse Sequences with the UVM-ML Open Architecture library | Hannes Fröhlich and Kishore Sur | 2014 | Poster | | y2014 | poster |
Hybrid Emulation Use Cases | Sylvain Bayon de Noyer | 2015 | Poster | | y2015 | poster |
Hybrid Emulation: Accelerating Software Driven Verification and Debug | Issac P Zacharia and Jitendra Aggarwal | 2021 | Poster | | y2021 | poster |
Hybrid Emulation: Accelerating Software Driven Verification and Debug | Issac P Zacharia and Jitendra Aggarwal | 2021 | Presentation | | y2021 | presentation |
Identifying and Overcoming Multi-Die System Verification Challenges | Varun Agrawal | 2023 | Presentation | | y2023 | presentation |
Improving Debug Productivity using latest AI & ML Techniques | Amod Khandekar, Sundararajan Ananthakrishnan, Amit Verma | 2023 | Presentation | | y2023 | presentation |
Improving Simulation Performance at SoC/Subsystem Level Using LITE Environment Approach | Avni Patel, Heena Mankad | 2019 | Paper | | y2019 | paper |
Increase Productivity with Reflection API in Design Verification | Shivayogi V. Kerudi, Vijay Mukund Srivastav, Vani S, Brad Quinton | 2015 | Poster | | y2015 | poster |
Indago™ Debug Platform Overview | | 2015 | Presentation | | y2015 | presentation |
Innovative methodologies for analyzing CDC and RDC violations in complex SoC using Automations, formal verification, and Hierarchical CDC model | Maitri Mishra, Dharmendra Kumar | 2022 | Presentation | | y2022 | presentation |
Intelligent Coverage Driven, Modern Verification for VHDL Based Designs in Native VHDL with OSVVM | Vijay Mukund Srivastav, Anupam Maurya, Prabhat Kumar, Juhi | 2015 | Presentation | | y2015 | presentation |
Introducing IEEE 1800.2 the Next Step for UVM | Srivatsa Vasudevan | 2017 | Presentation | | y2017 | presentation |
Introducing UVM-SystemC For a Resilient And Structured ESL Validation | Akhila M | 2017 | Presentation | | y2017 | presentation |
Introduction to Accellera TLM 2.0 | Aravinda Thimmapuram | 2015 | Presentation | | y2015 | presentation |
IP Generators – A Better Reuse Methodology | Amanjyot Kaur | 2021 | Presentation | | y2021 | presentation |
IP Generators -A Better Reuse Methodology | Amanjyot Kaur | 2021 | Poster | | y2021 | poster |
IP/SoC Design, Co-Verify, Co-Validate, Co-Everything in 45 minutes! | Nikita Gulliya, Asif Ahmad, Devender Khari | 2022 | Presentation | | y2022 | presentation |
Left shift catching of critical low power bugs with Formal Verification | Manish Kumar, Madan Kumar, Madhusudhana Lebaka, Srobona Mitra | 2022 | Presentation | | y2022 | presentation |
Left shift catching of critical low power bugs with Formal Verification | Manish Kumar, Madan Kumar, Srobona Mitra, Madhusudhana Lebaka | 2022 | Poster | | y2022 | poster |
Left shift catching of critical low power bugs with Formal Verification | Manish Kumar, Madan Kumar, Madhusudhana Lebaka, Srobona Mitra | 2022 | Paper | | y2022 | paper |
Left Shift of Perf Validation Using Hardware-Based Acceleration | Abhiram.L.S, Anoop.H.C, Vijayakrishnan Rousseau, Vimpesh Kankariya | 2017 | Presentation | | y2017 | presentation |
Leveraging ESL Approach to Formally Verify Algorithmic Implementations | M, Achutha KiranKumar V, Bindumadhava S S, Aarti Gupta, Disha Puri | 2015 | Presentation | | y2015 | presentation |
Leveraging IEEE 1800.2-2017 UVM for Improved RAL Modelling | Vikas Sharma, Manoj Manu, Ankit Garg | 2019 | Presentation | | y2019 | presentation |
Leveraging IEEE 1800.2-2017 UVM for Improved RAL Modelling | Vikas Sharma, Manoj Manu, Ankit Garg | 2019 | Paper | | y2019 | paper |
Leveraging RAL and alternate automation (cocotb) techniques to improve Register Verification in UVM | Sougata Bhattacharjee | 2022 | Poster | | y2022 | poster |
Logic Equivalence Check without Low Power – you are at risk!! | Aishwarya Nair, Krishna Patel | 2022 | Presentation | | y2022 | presentation |
Low Power Emulation for Power Intensive Designs | Harpreet Kaur, Mohit Jain, Piyush Kumar Gupta, Jitendra Aggarwal | 2014 | Presentation | | y2014 | presentation |
Low Power Extension In UVM Power Management | Priyanka Gharat, Shikhadevi Katheriya, Avnita Pal | 2022 | Poster | | y2022 | poster |
Low Power Extension in UVM Power Management | Priyanka Gharat, Avnita Pal, Shikhadevi Katheriya | 2022 | Paper | | y2022 | paper |
Low Power Techniques in Emulation | Pragati Mishra & Jitendra Aggarwal | 2019 | Presentation | | y2019 | presentation |
Low Power Validation on Emulation Using Portable Stimulus Standard | Joydeep Maitra, Deepinder Singh Mohoora, Vikash Kumar Singh | 2019 | Paper | | y2019 | paper |
Low Power Verification Challenges and Coverage Recipe to Sign-Off PA Verification | Deepmala Sachan, Raghavendra Prakash, Venugopal Jennarapu, Syed S Thameem | 2014 | Presentation | | y2014 | presentation |
Low power Verification challenges and coverage recipe to sign-off Power aware Verification | Deepmala Sachan, Thameem Syed S, Raghavendra Prakash, Venugopal Jennarapu | 2014 | Paper | | y2014 | paper |
Making Formal Property Verification Mainstream: An Intel® Graphics Experience | M Achutha KiranKumar V, Bindumadhava S S, Abhijith A Bharadwaj | 2017 | Presentation | | y2017 | presentation |
Making the Most of the UVM Register Layer and Sequences | David Long | 2017 | Presentation | | y2017 | presentation |
Making Virtual Prototypes Work | Kartik Jivani, Jigar Patel | 2015 | Presentation | | y2015 | presentation |
Mastering Unexpected Situations Safely | Sacha Loitz | 2015 | Presentation | | y2015 | presentation |
MDLL & Slave Delay Line Performance Analysis Using Novel Delay Modeling | Abhijith Kashyap, Avinash S and Kalpesh Shah | 2014 | Paper | | y2014 | paper |
MDLL & Slave Delay Line Performance Analysis Using Novel Delay Modeling | Abhijith Kashyap, Avinash Shambu, Kalpesh Shah | 2014 | Presentation | | y2014 | presentation |
MeSSMArch – A Memory System Simulator for Hardware Multithreading Architectures | Sushil Menon | 2015 | Presentation | | y2015 | presentation |
MeSSMArch – A Memory System Simulator for Hardware Multithreading Architectures | Sushil Menon | 2015 | Paper | | y2015 | paper |
Methodology for Abstract Power Intent Specification and Generation | Pramod Warrier, Bhavesh Jeewani, Juergen Karmann, Michael Velten | 2017 | Presentation | | y2017 | presentation |
Methodology for Hardware Software Co-verification of Video Systems on Pre and Post Silicon | Vinesh Peringat | 2015 | Presentation | | y2015 | presentation |
Methodology for Hardware Software Co-verification of Video Systems on Pre and Post Silicon | Vinesh Peringat, Balajee Premraj, Venkatesh Merugu | 2015 | Poster | | y2015 | poster |
Methodology for system-level comparison of ARM vs RISC-V cores for latency and power consumption | Tom Jose, Deepak Shankar | 2022 | Presentation | | y2022 | presentation |
Methodology for Verification Regression Throughput Optimization using Machine Learning | Arun.K.R, Preetham Lakshmikanthan, and Ashwani Aggarwal | 2021 | Poster | | y2021 | poster |
Methodology for Verification Regression Throughput Optimization using Machine Learning | Arun K.R. Preetham Lakshmikanthan, Ashwani Aggarwal, and Sundararajan Ananthakrishnan | 2021 | Presentation | | y2021 | presentation |
MIPI M-PHY Analog Modeling in Verilog-AMS (Wreal) and Verification Using SV/UVM-MS Methodology | Mallikarjuna Reddy Y, Venkatramana Rao K, Somanatha Shetty A | 2015 | Presentation | | y2015 | presentation |
Model Extraction for Designs Based on Switches for Formal Verification | Amar Patel, Naman Jain | 2014 | Paper | | y2014 | paper |
Model Extraction for Designs Based on Switches for Formal Verification | Amar Patel, Naman Jain | 2014 | Presentation | | y2014 | presentation |
Netlist Enabled Emulation Platform for Accelerated Gate Level Verification | Samhith Pottem, Vasudeva Reddy, Rahul S S, Sarang Kalbande, Garima Srivastava, Hyundon Kim | 2023 | Presentation | | y2023 | presentation |
Netlist Enabled Emulation Platform for Accelerated Gate Level Verification | Samhith Pottem, Vasudeva Reddy, Rahul S S, Sarang Kalbande, Garima Srivastava, Hyundon Kim | 2023 | Paper | | y2023 | paper |
Network Packet Header Generation Using Graph Based Techniques Combined with Software Testing Strategies | Sridevi Navulur, Satheesh Parasumanna, Rama Chaganti | 2015 | Presentation | | y2015 | presentation |
No gain without RISC- A novel approach for accelerating and streamlining RISC-V Processor functional verification with register change dump methodology | Damandeep Saini, Chethan AS, Anil Deshpande, Raviteja Gopagiri, Somasundar, Niharika Sachdeva | 2023 | Presentation | | y2023 | presentation |
No gain without RISC- A novel approach for accelerating and streamlining RISC-V Processor functional verification with register change dump methodology | Damandeep Saini, Chethan AS, Anil Deshpande, Raviteja Gopagiri, Somasundar, Niharika Sachdeva | 2023 | Paper | | y2023 | paper |
Novel Adaptive CPU Scoreboard Methodology for a Multi-language environment | Pooja Madhusoodhanan, Saya Goud Langadi, Labeeb K | 2022 | Presentation | | y2022 | presentation |
Novel Adaptive CPU Scoreboard Methodology for a Multi-language environment | Pooja Madhusoodhanan, Saya Goud Langadi, Labeeb K | 2022 | Paper | | y2022 | paper |
Novel approach for SoC pipeline latency and connectivity verification using Formal | Deepak Mohan, Senthilnath Subbarayan, Sandeep Kumar | 2022 | Presentation | | y2022 | presentation |
Novel approach for SoC pipeline latency and connectivity verification using Formal | Deepak Mohan, Senthilnath Subbarayan, Sandeep Kumar | 2022 | Paper | | y2022 | paper |
Novel Methodology for TLM Model Unit Verification | Navaneet Kumar, Archna Verma, Ashish Mathur | 2022 | Presentation | | y2022 | presentation |
Novel Model First Approach to Shift-Left Soft-Logic RTL Development on Intel Agilex FPGA for Data Centre IPU Design | Pravat K Nayak, Vikrant Kapila, Pushpa Naik, Niketkumar Sharma | 2023 | Poster | | y2023 | poster |
NRFs Indentification & Signoff with GLS Validation | Rohit Kumar Sinha, Rakesh Misra, Nagesh Kulkarni | 2022 | Poster | | y2022 | poster |
Obscure Face of UVM RAL: To Tackle Verification of Error Scenarios | Subhash Pai, Lavanya Polineni | 2017 | Paper | | y2017 | paper |
Obscure face of UVM RAL: To Tackle Verification of Error Scenarios | Subhash Pai, Lavanya Polineni | 2017 | Presentation | | y2017 | presentation |
OIL check of PCIe with Formal Verification | Vedprakash Mishra, Carlston Lim, Zhi Feng Lee, Jian Zhong Wang, Anshul Jain and Achutha KiranKumar V M | 2022 | Presentation | | y2022 | presentation |
Open Source Virtual Platforms for SW Prototyping on FPGA Based HW | Chen Qian, Praveen Wadikar, Mark Burton | 2019 | Paper | | y2019 | paper |
Our Experience of Glitches at Clock Trees, CDC Paths and Reset Trees | Jebin Mohandas | 2019 | Paper | | y2019 | paper |
Overcoming Challenges in Functional Verification of Automotive Traffic Schedulers | Harshit Jaiswal, Hemlata Bist, Rohit Mishra, Ori Tal | 2022 | Presentation | | y2022 | presentation |
Paged and Alternate View Registers in UVM | Kirti Srivastava,Harshit Kumar Baghel | 2017 | Presentation | | y2017 | presentation |
Paradigm Shift in Power Aware Simulation Using Formal Techniques | Sachin Bansal, Girish Marudwar, Sandeep Jana, Kamalesh Ghosh, Yogananda Mesa | 2023 | Presentation | | y2023 | presentation |
Paradigm Shift in Power Aware Simulation Using Formal Techniques | Sachin Bansal, Girish Marudwar, Sandeep Jana, Kamalesh Ghosh, Yogananda Mesa | 2023 | Paper | | y2023 | paper |
Parameterized and Re-usable Jitter Model for Serial and Parallel Interfaces | Amlan Chakrabarti, Malathi Chikkanna | 2014 | Presentation | | y2014 | presentation |
Part 9 An Efficient Methodology for Development of Cryptographic Engines | Sandesh Kanchodu, Tarun Rajendra Mittal, Sachin Kashyap, Subramanian Parameswaran | 2022 | Presentation | | y2022 | presentation |
Performance Analysis and Acceleration of High Bandwidth Memory System | Rohit Devidas Chavan, Jyoti Verma, Sekhar Dangudubiyyam | 2023 | Presentation | | y2023 | presentation |
Performance Analysis and Acceleration of High Bandwidth Memory System | Rohit Devidas Chavan, Jyoti Verma, Sekhar Dangudubiyyam | 2023 | Paper | | y2023 | paper |
Performance Modelling for the Control Backbone | Raghav Tenneti, Padam Krishnani, Praveen Wadikar | 2017 | Presentation | | y2017 | presentation |
Performance Validation Strategy for collaborative SoC developments where two worlds meets in GDS only | Chirag Kedia and Rahul Gupta | 2021 | Poster | | y2021 | poster |
Performance Validation Strategy for collaborative SoC developments where two worlds meets in GDS only | Chirag Kedia, Mukesh Ameria, and Rahul Gupta | 2021 | Presentation | | y2021 | presentation |
Performance Verification of a 6 Gbps HSlink Receiver Including Equalization and Clock Recovery Using Mixed Signal Simulations | Aashish Ram Bhide, Abhishek Chowdhary, Alok Kaushik, and Vivek Uppal | 2014 | Poster | | y2014 | poster |
Perspec System Verifier Overview | | 2015 | Presentation | | y2015 | presentation |
PHY IP Verification – Are Conventional Digital DV Techniques Sufficient? | Somasunder Kattepura Sreenath | 2015 | Presentation | | y2015 | presentation |
Please! Can Someone Make UVM Easier to Use? | Raghu Ardeishar, Rich Edelman | 2014 | Paper | | y2014 | paper |
Please! Can Someone Make UVM Easy to Use? | Rich Edelman, Raghu Ardeishar | 2014 | Presentation | | y2014 | presentation |
Portable Stimulus Standard Update PSS in the Real World | Accellera Portable Stimulus Working Group | 2022 | Presentation | | y2022 | presentation |
Power Aware CDC Verification at RTL for Faster SoC Verification Closure | Anindya Chakraborty, Naman Jain, Saumitra Goel | 2014 | Paper | | y2014 | paper |
Power-Aware CDC Verification at RTL for Faster SoC Verification Closure | Anindya Chakraborty, Naman Jain, Saumitra Goel | 2014 | Presentation | | y2014 | presentation |
Pre-Silicon Debug Automation using Transaction Tagging and Data-Mining | Kamalesh Vikramsimhan, SenthilKumar Narayanaswamy, Deepak Sadasivam, Kaustubh Godbole | 2014 | Paper | | y2014 | paper |
Pre-Silicon Debug Automation Using Transaction Tagging and Data-Mining | Kamalesh V, Senthilkumar N, Kaustubh G, Deepak S | 2014 | Poster | | y2014 | poster |
Pre-Silicon Host-based Unit Testing of Driver Software using SystemC Models | Aravinda Thimmapuram, Somarka Chakravarti, Tamal Saha, Rathina Thalaiappan | 2015 | Presentation | | y2015 | presentation |
Profiling Virtual Prototypes: Simulation Performance Analysis & Optimization | Sandeep Jain | 2019 | Presentation | | y2019 | presentation |
Profiling Virtual Prototypes: Simulation Performance Analysis & Optimization | Sandeep Jain | 2019 | Paper | | y2019 | paper |
PropGen: An Automated Flow to Generate SVA Properties for Formal and Simulation methods | Amith Shambhu, Vishal Dalal, Basavaraj Naik | 2023 | Presentation | | y2023 | presentation |
PropGen: An Automated Flow to Generate SVA Properties for Formal and Simulation methods | Amith Shambhu, Vishal Dalal, Basavaraj Naik | 2023 | Paper | | y2023 | paper |
Prototyping Next-Gen Tegra SoC | Sivarama Prasad Valluri, Ramanan Sanjeevi Krishnan | 2015 | Presentation | | y2015 | presentation |
Pseudo-LRU Not Efficient in Real World? Use Formal Verification to Bridge the Gap | Paras Gupta, Sachin Kumawat, and Kevin Bhensdadiya | 2023 | Presentation | | y2023 | presentation |
Pseudo-LRU Not Efficient in Real World? Use Formal Verification to Bridge the Gap | Paras Gupta, Sachin Kumawat, and Kevin Bhensdadiya | 2023 | Paper | | y2023 | paper |
Python empowered GLS Bringup Vehicle | Debarati Banerjee, Nikhil Singla, Shantha B, Pandithurai Sangaiyah | 2023 | Presentation | | y2023 | presentation |
Python empowered GLS Bringup Vehicle | Debarati Banerjee, Nikhil Singla, Shantha B, Pandithurai Sangaiyah | 2023 | Paper | | y2023 | paper |
Quiescent Formal Checks (QFC) for Detecting Deep Design Bugs – Sooner and Faster | Somesh Mishra, Mayank, Kumar, Ketan Mishra, Anshul Jain, Bharath Varma Gottumukkala | 2023 | Presentation | | y2023 | presentation |
Quiescent Formal Checks (QFC) for Detecting Deep Design Bugs – Sooner and Faster | Somesh Mishra, Mayank, Kumar, Ketan Mishra, Anshul Jain, Bharath Varma Gottumukkala | 2023 | Paper | | y2023 | paper |
Raising the Bar: Achieving Formal Verification Sign-Off for Complex Algorithmic Designs, with a Dot Product Accumulate Case Study | Disha Puri, Madhurima Eranki, Shravya Jampana | 2023 | Presentation | | y2023 | presentation |
Raising the Bar: Achieving Formal Verification Sign-Off for Complex Algorithmic Designs, with a Dot Product Accumulate Case Study | Disha Puri, Madhurima Eranki, Shravya Jampana | 2023 | Paper | | y2023 | paper |
Rapid Virtual Prototype Model development using UML and IP-XACT SystemC Code Generation | Deepak S Kurapati and Aravinda Thimmapuram | 2014 | Paper | | y2014 | paper |
Recipes for Better Simulation Acceleration Performance | Vijayakrishnan Rousseau, Gaurang Nagrecha | 2015 | Presentation | | y2015 | presentation |
Reconfigurable Radio Design and Verification | Vladimir Ivanov, Markus Mueck, Seungwon Choi | 2015 | Presentation | | y2015 | presentation |
Reset Verification using formal tool | Arju Khatun, Shiva Nagendar Pokala | 2022 | Poster | | y2022 | poster |
Responding to TAT Improvement Challenge through Testbench Configurability and Re-use | Kartik Jain, Renuka Devi Nagarajan, M Akhila, Mukesh Bhartiya | 2014 | Paper | | y2014 | paper |
Responding to TAT Improvement Challenge Through Testbench Configurability and Re-use | Akhila M, Kartik Jain, Renuka Devi, Mukesh Bhartiya | 2014 | Presentation | | y2014 | presentation |
Retention based low power DV challenges in DDR Systems | Subhash Joshi, Sangaiyah Pandithurai, and Halavarthi Math Revana Siddesh | 2014 | Paper | | y2014 | paper |
Retry with Confidence: Use Formal Property Verification to Verify Link Layer Retry (LLR) Mechanism of Compute Express Link (CXL) | Anshul Jain, Aman Vyas, Binal Sodavadia, Sava Krstic, Achutha KiranKumar V M | 2022 | Presentation | | y2022 | presentation |
Reusable DPI flow across Verification, Validation & SW | Prasad Haldule, Pushkar Naik | 2017 | Presentation | | y2017 | presentation |
Reusable UVM_REG Backdoor Automation | Balasubramanian G., Allan Peeters, Bob Blais | 2014 | Paper | | y2014 | paper |
Reusable UVM_REG Backdoor Automation | Balasubramanian G., Allan Peeters, Bob Blais | 2014 | Presentation | | y2014 | presentation |
Reusing Sequences in a Multi-Language environment using UVM-ML OA | Hannes Fröhlich, Kishore Sur | 2014 | Poster | | y2014 | poster |
Revolutionizing Proof Convergence for Algorithmic Designs: Combining Multiple Formal Verification Tools | Suraj Kamble, Rajib Lochan Jana, Disha Puri | 2023 | Presentation | | y2023 | presentation |
Revolutionizing Proof Convergence for Algorithmic Designs: Combining Multiple Formal Verification Tools | Suraj Kamble, Rajib Lochan Jana, Disha Puri | 2023 | Paper | | y2023 | paper |
Robust Low Power Verification Strategy for a Complex 3DIC System with Split Power Management Architecture | Atiq Jamadar, Ayush Agrawal, Subramanian R, Sekhar Dangudubiyyam | 2023 | Presentation | | y2023 | presentation |
Robust Low Power Verification Strategy for a Complex 3DIC System with Split Power Management Architecture | Atiq Jamadar, Ayush Agrawal, Subramanian R, Sekhar Dangudubiyyam | 2023 | Paper | | y2023 | paper |
RTL Quality for TLM Models | Preeti Sharma | 2014 | Paper | | y2014 | paper |
Runtime Fault-Injection Tool for Executable SystemC Models | Bogdan-Andrei Tabacaru, Moomen Chaari, Wolfgang Ecker, and Thomas Kruse | 2014 | Paper | | y2014 | paper |
Scalable Multi-Domain Multi-Variant Reset Management in Complex Verification IPs | Kaustubh Kumar, Munnangi Sirisha, Lokesh Kumar | 2019 | Paper | | y2019 | paper |
Scalable Multi-Domain, Multi-Variant Reset Management in Verification IPs | Kaustubh Kumar, Munnangi Sirisha, and Lokesh Kumar | 2019 | Presentation | | y2019 | presentation |
Scalable Test bench Architecture and Methodology for Faster Codec and Computer Vision Scenario Verification | Azhar Ahammad, Shreekara Murthy | 2022 | Presentation | | y2022 | presentation |
Scalable Test bench Architecture and Methodology for Faster Codec and Computer Vision Scenario Verification | Azhar Ahammad, Shreekara Murthy | 2022 | Paper | | y2022 | paper |
Securing design confidence for Low power and Functional features of Image Sensor SOC – Reuse of UVM simulation testbench on HW acceleration platform | Vivek Kumar, Manish Mallan, Karthik Majeti | 2023 | Poster | | y2023 | poster |
SERDES Rx CDR Verification Using Jitter, Spread-Spectrum Clocking (SSC) Stimulus | Somasunder Sreenath, Raghuram Kolipaka, Chirag Shah, and Parag Lonkar | 2014 | Paper | | y2014 | paper |
SERDES Rx CDR Verification Using Jitter, Spread-Spectrum Clocking (SSC) Stimulus | Somasunder Sreenath, Raghuram Kolipaka, Chirag Shah, Parag Lonkar | 2014 | Presentation | | y2014 | presentation |
Shifting Left CXL Interop using Simulation Techniques | John Shinto K S, Suhas Pai | 2022 | Presentation | | y2022 | presentation |
Simplifying Hierarchical Low Power Designs Using Power Models in Intel Design | Rohit Kumar Sinha | 2019 | Presentation | | y2019 | presentation |
Simplifying Hierarchical Low Power Designs Using Power Models in Intel Design | Rohit Kumar Sinha | 2019 | Paper | | y2019 | paper |
Simulation Analog Fault Injection Flow for Mixed-Signal Designs | Pablo Cholbi Alenda, Dylan OConnor Desmond, Raman K | 2019 | Presentation | | y2019 | presentation |
Simulation Analog Fault Injection Flow for Mixed-Signal Designs | Pablo Cholbi Alenda, Dylan OConnor Desmond, Raman K | 2019 | Paper | | y2019 | paper |
Simulation Based Pre-Silicon Characterization | Saurabh Pandey, Venkatseema Das, Arif Mohammed, Nishant Gurunath | 2014 | Paper | | y2014 | paper |
Simulation Based Pre-Silicon Characterization | Saurabh Pandey, Venkatseema Das, Arif Mohammed, Nishant Gurunath | 2014 | Presentation | | y2014 | presentation |
Simulation Guided Formal Verification with “River Fishing” Techniques | Bathri Narayanan Subramanian, Ping Yeung | 2019 | Paper | | y2019 | paper |
Small Scale Parameterized Inference Engine | Vishnu Bharadwaj, Shruti Narake, and Saurabh Patil | 2019 | Presentation | | y2019 | presentation |
Smart Centralized Regression (SCR) | Sriram Kazhiyur Sounderrajan, Somasunder Kattepura Sreenath, Siddhant Kumar Yadav, Ajay Pennam, Aman Jain | 2017 | Presentation | | y2017 | presentation |
Smart Recipe for Quick Bug Hunting: Boosting functional sign-off with Low-Power Assertions | Jeevan G R, Deepmala Sachan, Thameem Syed, Raghavendra Prakash | 2017 | Presentation | | y2017 | presentation |
Sneak Preview of UVM-SystemC: The Missing Piece in ESL Verification | Martin Barnasconi, Karsten Einwich | 2014 | Presentation | | y2014 | presentation |
SoC Verification Enablement Using HM Model | Vineet Tanwar, Chirag Kedia, Rahul Gupta | 2019 | Paper | | y2019 | paper |
SoC verification of Security x Safety aspects for memory programmed into secure and non-secure regions in Automotive devices | Ruchi Bora, Ramit Rastogi | 2022 | Poster | | y2022 | poster |
Software Driven Hardware Verification: A UVM/DPI Approach | Milan Purohit, Santanu Bhattacharyya, Puneet Goel, Amit Sharma | 2015 | Presentation | | y2015 | presentation |
Solving Problems with hierarchical CDC analysis of large designs with encrypted blocks | Abdul Moyeen, Arpita Agarwal, Aman Shaikh, Abhay Deshpande | 2022 | Presentation | | y2022 | presentation |
Static Power Intent Verification of Power State Switching Expressions | Srobona Mitra, Bhaskar Pal, Kaushik De, Soumen Ghosh, Rajarshi Mukherjee | 2015 | Poster | | y2015 | poster |
Static Sign-Off Best Practices Learnings and Experiences from Industry Use Cases | Vikas Sachdeva | 2023 | Presentation | | y2023 | presentation |
Statistical Analysis of Clock Domain Crossing | Rajat Singla, Tanneru Sai Pavan, Naveen Dugar, Varun Sharma | 2023 | Presentation | | y2023 | presentation |
Statistical Analysis of Clock Domain Crossing | Rajat Singla, Tanneru Sai Pavan, Naveen Dugar, Varun Sharma | 2023 | Paper | | y2023 | paper |
Step-up your Register Access Verification | Nisha Kadhirvelu, Sundar Krishnakumar, and Wesley Park | 2019 | Presentation | | y2019 | presentation |
Step-up your Register Access Verification | Nisha Kadhirvelu, Sundar Krishnakumar, and Rimpy Chugh | 2019 | Paper | | y2019 | paper |
Stimulus Generation for Functional Verification of Memory Systems | Vaibhav Anant Ashtikar | 2015 | Presentation | | y2015 | presentation |
Stimulus Generation for Functional Verification of Memory Systems in Advanced Microprocessors | BhanuPratap Singh Chouhan, Vaibhav Anant Ashtikar, Basavaraj Talawar, Vani M., Krishnakumar Ranganathan | 2015 | Paper | | y2015 | paper |
SwiftCov: Automated Coverage Closure Tool | Nisha Mallya, Kunal Panchal, Pushkar Naik | 2017 | Presentation | | y2017 | presentation |
SystemUVM™ Driving Portable Stimulus Ease-Of-Use | Nambi Ju | 2022 | Presentation | | y2022 | presentation |
SystemVerilog for Design | Saminathan Chockalingam, Deepa Anantharaman | 2014 | Presentation | | y2014 | presentation |
Tabled Data Into Query Able Data, ‘Key’ Concepts Demonstrated Using DDR5 | Rahil Jha, Joseph Bauer | 2023 | Presentation | | y2023 | presentation |
Tabled Data Into Query Able Data, ‘Key’ Concepts Demonstrated Using DDR5 | Rahil Jha, Joseph Bauer | 2023 | Paper | | y2023 | paper |
Tackling the verification complexities of a processor subsystem through Portable stimulus | Vivek Gopalkrishna, Ponnambalam Lakshmanan, Nitish Swamy | 2023 | Presentation | | y2023 | presentation |
Tackling the verification complexities of a processor subsystem through Portable stimulus | Vivek Gopalkrishna, Ponnambalam Lakshmanan, Nitish Swamy | 2023 | Paper | | y2023 | paper |
Techniques to identify reset metastability issues due to soft resets | Reetika, Sulabh Kumar Khare | 2023 | Presentation | | y2023 | presentation |
Techniques to identify reset metastability issues due to soft resets | Reetika, Sulabh Kumar Khare | 2023 | Paper | | y2023 | paper |
The Art of Writing Predictors Efficiently Using UVM | Dolly Mehta, Jeremy Ridgeway | 2015 | Presentation | | y2015 | presentation |
The Formal Way – Fast and Accurate Hashing Algorithm Verification | Sini Balakrishnan, Sireesha Tulluri, Bindumadhava SS, Disha Puri | 2022 | Presentation | | y2022 | presentation |
The Industry eFPGA soft IP fully customizable, for hardware reconfiguration in the field | Mrs Imen Baili | 2022 | Presentation | | y2022 | presentation |
Thinking Ahead – Advanced Verification and Debug Techniques for the Imminent IoT Wave | Vamsi Krishna Doppalapudi | 2016 | Presentation | | y2016 | presentation |
Thinking Beyond the Box Adopt the Reusable UVM Thread Management and Customized UVM Reset Package to Attack Thread Aware Verification Challenges | Roman Wang, Uwe Simm, Malathi Chikkanna | 2015 | Poster | | y2015 | poster |
Thinking In TransactionsVisualizing and Validating | Rich Edelman, Mustufa Kanchwala | 2017 | Presentation | | y2017 | presentation |
Towards Early Validation of Firmware Using UVM Simulation Framework | Amaresh Chellapilla, Pandithurai Sangaiyah | 2019 | Presentation | | y2019 | presentation |
Towards Early Validation of Firmware Using UVM Simulation Framework | Amaresh Chellapilla, Pandithurai Sangaiyah | 2019 | Paper | | y2019 | paper |
Transactional Memory Subsystem Verification for an ARMv8 Server Class CPU | Ramdas M., Parveez Ahamed, Brijesh Reddy, Jayanto Minocha | 2015 | Presentation | | y2015 | presentation |
Transactional Memory Subsystem Verification for an ARMv8 Server Class CPU | Ramdas Mozhikunnath, Parveez Ahamed, Brijesh Reddy, Jayanto Minocha | 2015 | Paper | | y2015 | paper |
Trials and Tribulations of Migrating a Native UVM Testbench from Simulation to Emulation | Vikas Billa, Sundar Haran | 2017 | Presentation | | y2017 | presentation |
UCIe based Design Verification | Anunay Bajaj, Sundararajan Ananthakrishnan | 2023 | Presentation | | y2023 | presentation |
Uncover: Functional Coverage Made Easy | Akash S, Rahul Jain, Gaurav Agarwal | 2019 | Presentation | | y2019 | presentation |
Uncover: Functional Coverage Made Easy | Akash S, Rahul Jain, Gaurav Agarwal, Nvidia Graphics | 2019 | Paper | | y2019 | paper |
Unified Functional and Performance FrameworkA Case Study of Cache: Using SystemC TLM Non Blocking Interfaces | Chaitra K V | 2017 | Presentation | | y2017 | presentation |
Unified Test Writing Framework for Pre and Post Silicon Verification | Rahulkumar Patel, Pablo Cholbi, Sivasubrahmanya Evani, Raman K | 2019 | Presentation | | y2019 | presentation |
Unified Test Writing Framework for Pre and Post Silicon Verification | Rahulkumar Patel, Pablo Cholbi, Sivasubrahmanya Evani, Raman K | 2019 | Paper | | y2019 | paper |
Unleashing the Power of UPF 3.0: An Innovative Approach for Faster and Robust Low-power Coverage | Awashesh Kumar, Madhur Bhargava | 2017 | Presentation | | y2017 | presentation |
Use of Message Bus Interface to Verify Lane Margining in PCIe | Ankita Vashisht, Narasimha Babu G V L | 2019 | Paper | | y2019 | paper |
Using a Generic Plug and Play Performance Monitor for SoC Verification | Ambar Sarkar, Kaushal Modi, Janak Patel, Bhavin Patel, Ajay Tiwari | 2015 | Presentation | | y2015 | presentation |
Using a Generic Plug and Play Performance Monitor for SoC Verification | Ajay Tiwari, Bhavin Patel, Janak Patel, Kaushal Modi | 2015 | Paper | | y2015 | paper |
Using IP-XACT IEEE1685-2014 | Prashant Karandikar | 2015 | Presentation | | y2015 | presentation |
Using Simulation Acceleration to Achieve 100X Performance Improvement with UVM Based Testbenches | Narla Venkateswara Rao, K Ranjith Kumar, Vikas Verma, Gautam Kumar | 2014 | Paper | | y2014 | paper |
Using Simulation Acceleration to Achieve 100X Performance Improvement with UVM Based Testbenches | Narla Venkateswara Rao, Ranjith Kumar K, Vikas Verma, Gautam Kumar | 2014 | Presentation | | y2014 | presentation |
Using Software Design Patterns in Testbench Development for a Multi-layer Protocol | Pavan Yeluri, Ranjith Nair | 2019 | Paper | | y2019 | paper |
Using UVM-e Testbenches Utilizing Metric-Driven Verification and Advanced Debug Capabilities | Himanshu Rawal, Vijay Kumar Birange, Daniel Bayer | 2022 | Poster | | y2022 | poster |
Utilization of Emulation for accelerating the Functional Verification Closure | Varun Kumar C, Sekhar Dangudubiyyam, Madhukar Ramegowda | 2022 | Poster | | y2022 | poster |
UVM & Emulation Creating SystemVerilog & UVM Testbenches for Simulation & Emulation Platform Portability to Boost Block-to-System Verification Productivity | Hans van der Schoot | 2015 | Presentation | | y2015 | presentation |
UVM based configurable FSM model for System level verification of DDR5 DIMM High end Server Chipset | Vinoth Kumar Subramani and Gagandeep Singh | 2021 | Presentation | | y2021 | presentation |
UVM based configurable FSM model for System level verification of DDR5 DIMM High end Server Chipset | Vinoth Kumar Subramani and Gagandeep Singh | 2021 | Poster | | y2021 | poster |
UVM Based Generic Interrupt Handler (UGIH) | Nikhil Singla, Debarati Banerjee | 2022 | Presentation | | y2022 | presentation |
UVM for RTL Designers | Srinivasan Venkataramanan, Krishna Thottempudi, Praneshsairam A, Mani S | 2017 | Presentation | | y2017 | presentation |
UVM Sequence Layering for Register Sequences | Muneeb Ulla Shariff, Sangeetha Sekar, Ravi Reddy | 2023 | Presentation | | y2023 | presentation |
UVM Sequence Layering for Register Sequences | Muneeb Ulla Shariff, Sangeetha Sekar, Ravi Reddy | 2023 | Paper | | y2023 | paper |
UVM Usage for Selective Dynamic Re-configuration of Complex Designs | Kunal Panchal, Pushkar Naik | 2014 | Paper | | y2014 | paper |
UVM Usage for Selective Dynamic Re-configuration of Complex Designs | Kunal Panchal, Pushkar Naik | 2014 | Presentation | | y2014 | presentation |
UVM-RAL: Registers on Demand Elimination of the Unnecessary | Sailaja Akkem | 2015 | Presentation | | y2015 | presentation |
UVM, VMM and Native SV: Enabling Full Random Verification at System Level | Ashok Chandran | 2014 | Paper | | y2014 | paper |
UVM, VMM and Native SV: Enabling Full Random Verification at System Level | Ashok Chandran | 2014 | Presentation | | y2014 | presentation |
Verification Methodology for Functional Safety Critical Work Loads | G Prashanth Reddy and Debajyoti Mukherjee | 2021 | Poster | | y2021 | poster |
Verification Methodology for Functional Safety Critical Work Loads | G Prashanth Reddy and Debajyoti Mukherjee | 2021 | Presentation | | y2021 | presentation |
Verification Reuse Strategy for RTL Quality SoC Functional Virtual Prototypes | Rajesh Kumar Jain, Gaurav Sharma, Marcel Achim, Ashish Mathur, Prateek Sikka | 2022 | Presentation | | y2022 | presentation |
Verification Strategies and Modelling for the Uninvited Guest in the System: Clock Jitter | Deepak Nagaria, Vikas Makhija, Apoorva Mathur | 2019 | Paper | | y2019 | paper |
Verification Techniques for CPU Simulation Model | Sandeep Jain, Gaurav Sharma | 2015 | Presentation | | y2015 | presentation |
Verifying the I/O peripherals of OpenTitan SOC using the Portable Stimulus Standard | Mahesh R, Bidisha Das, Raj S Mitra, Loganath Ramachandran Ph.D., Viraphol Chaiyakul Ph.D. | 2022 | Presentation | | y2022 | presentation |
Verifying the I/O peripherals of OpenTitan SOC using the Portable Stimulus Standard | Mahesh R, Bidisha Das, Raj S Mitra, Loganath Ramachandran Ph.D., Viraphol Chaiyakul Ph.D. | 2022 | Paper | | y2022 | paper |
VirtIO based GPU model | Pratik Parvati | 2022 | Presentation | | y2022 | presentation |
Virtual Platform for a Multi-Chip WLAN System Using a Generic IO Connectivity Scheme | Rajesh Jain, Sandeep Jain | 2017 | Presentation | | y2017 | presentation |
Virtual Platform for Software Enablement and Hardware Verification | Rajesh Jain, Sandeep Jain, Sumail Singh Brar, Sourav Roy | 2015 | Presentation | | y2015 | presentation |
VirtualATE: SystemC support for Automatic Test Equipment | Nitin Garg, Shabarish Sundar, Amaranath Reddy, Sacha Loitz | 2015 | Poster | | y2015 | poster |
Vlang A System Level Verification Perspective | Puneet Goel | 2015 | Paper | | y2015 | paper |
Vlang A System Level Verification Perspective | Puneet Goel | 2015 | Presentation | | y2015 | presentation |
VP Quality Improvement Methodology | Meghana Moorthy, Melwyn Scudder, Kartik Shah | 2015 | Poster | | y2015 | poster |
Walking the Graph: A Holistic Approach to Graph-based Verification for Logic with Sparse State Space | Sandeep Korrapati, Holger Horbach, Klaus Keuerleber, Alexander Jung | 2015 | Presentation | | y2015 | presentation |
What-If analysis of Safety Mechanism’s impacts on ETHMAC design under Functional Safety flow | Udaykrishna J, Sujatha Hiremath, Kapil Kumar, Sachin Pathak, Gaurav Goel | 2022 | Paper | | y2022 | paper |
When Last Minute FV Strikes Gold: A Case Study on Finding Starvations & Deadlocks in a Project Nearing Tape-in | Abhishek Potdar, Sachin Kumawat, Sudhanshu Srivastava, Anshul Jain | 2023 | Presentation | | y2023 | presentation |
When Last Minute FV Strikes Gold: A Case Study on Finding Starvations & Deadlocks in a Project Nearing Tape-in | Abhishek Potdar, Sachin Kumawat, Sudhanshu Srivastava, Anshul Jain | 2023 | Paper | | y2023 | paper |
Wrong clamps can kill your chip!!….find them early | Akhil Arora, Rajiv Kumar, Sonik Sachdeva | 2023 | Presentation | | y2023 | presentation |
Wrong clamps can kill your chip!!….find them early | Akhil Arora, Rajiv Kumar, Sonik Sachdeva | 2023 | Paper | | y2023 | paper |
XploR, a Platform to Accelerate Silicon Transformation | | 2023 | Presentation | | y2023 | presentation |