Automated, Systematic CDC Verification Methodology Based on SDC Setup

Author(s):
Ashish Hari, Sulabh Kumar Khare
Location:
India
Year:
2014
Type:
Paper
Format:
pdf