Design and Verification of an Image Processing CPU Using UVM

Author(s):
Greg Tumbush and Milos Becvar
Type:
Presentation